summaryrefslogtreecommitdiff
path: root/noncore/applets/wirelessapplet/wireless.h
authormickeyl <mickeyl>2004-03-29 11:58:21 (UTC)
committer mickeyl <mickeyl>2004-03-29 11:58:21 (UTC)
commit17e6e34865c25bd6bb89e0f2b9c1669db0ffc281 (patch) (unidiff)
tree2bc41d085c826e9a523d5390e27ef597b355a84c /noncore/applets/wirelessapplet/wireless.h
parentf06be785e84ae52b665ca791925031da335f1f12 (diff)
downloadopie-17e6e34865c25bd6bb89e0f2b9c1669db0ffc281.zip
opie-17e6e34865c25bd6bb89e0f2b9c1669db0ffc281.tar.gz
opie-17e6e34865c25bd6bb89e0f2b9c1669db0ffc281.tar.bz2
eliminate bar graph display style
first shot at drawing the antenna symbol 'by hand' to support multiple display resolutions
Diffstat (limited to 'noncore/applets/wirelessapplet/wireless.h') (more/less context) (ignore whitespace changes)
-rw-r--r--noncore/applets/wirelessapplet/wireless.h5
1 files changed, 2 insertions, 3 deletions
diff --git a/noncore/applets/wirelessapplet/wireless.h b/noncore/applets/wirelessapplet/wireless.h
index b475a46..9146c66 100644
--- a/noncore/applets/wirelessapplet/wireless.h
+++ b/noncore/applets/wirelessapplet/wireless.h
@@ -50,7 +50,6 @@ class WirelessControl : public QFrame
50 private: 50 private:
51 WirelessApplet* applet; 51 WirelessApplet* applet;
52 52
53 int displayStyle;
54 int updateFrequency; 53 int updateFrequency;
55 54
56 bool rocESSID; 55 bool rocESSID;
@@ -82,7 +81,7 @@ class WirelessApplet : public QWidget
82 81
83 bool mustRepaint(); 82 bool mustRepaint();
84 void updatePopupWindow(); 83 void updatePopupWindow();
85 const char** getQualityPixmap(); 84 int numberOfRings();
86 85
87 private: 86 private:
88 QPixmap snapshotPixmap; 87 QPixmap snapshotPixmap;
@@ -92,7 +91,7 @@ class WirelessApplet : public QWidget
92 Opie::Net::OWirelessNetworkInterface* interface; 91 Opie::Net::OWirelessNetworkInterface* interface;
93 92
94 private: 93 private:
95 const char** oldpixmap; 94 int oldrings;
96 Opie::Net::OWirelessNetworkInterface* oldiface; 95 Opie::Net::OWirelessNetworkInterface* oldiface;
97 int oldqualityH; 96 int oldqualityH;
98 int oldsignalH; 97 int oldsignalH;