summaryrefslogtreecommitdiff
path: root/i18n/fr/clock.ts
Unidiff
Diffstat (limited to 'i18n/fr/clock.ts') (more/less context) (show whitespace changes)
-rw-r--r--i18n/fr/clock.ts14
1 files changed, 7 insertions, 7 deletions
diff --git a/i18n/fr/clock.ts b/i18n/fr/clock.ts
index feecf66..6d107f7 100644
--- a/i18n/fr/clock.ts
+++ b/i18n/fr/clock.ts
@@ -14,3 +14,3 @@
14 <source>Stopwatch</source> 14 <source>Stopwatch</source>
15 <translation>Chronomètre</translation> 15 <translation>Chrono</translation>
16 </message> 16 </message>
@@ -22,3 +22,3 @@
22 <source>Reset</source> 22 <source>Reset</source>
23 <translation>Mettre à zéro</translation> 23 <translation>Initialiser</translation>
24 </message> 24 </message>
@@ -26,3 +26,3 @@
26 <source>Set Alarm</source> 26 <source>Set Alarm</source>
27 <translation>Définir l&apos;alarme</translation> 27 <translation>Définir Alarme</translation>
28 </message> 28 </message>
@@ -46,3 +46,3 @@
46 <source>Set date and time.</source> 46 <source>Set date and time.</source>
47 <translation>Paramétrer la date et l&apos;heure.</translation> 47 <translation>Paramétrer Date et Heure.</translation>
48 </message> 48 </message>
@@ -82,4 +82,4 @@
82(minutes)</source> 82(minutes)</source>
83 <translation>Délai avant Sonnerie 83 <translation>Délai avant
84(minutes)</translation> 84Sonnerie (mn)</translation>
85 </message> 85 </message>
@@ -87,3 +87,3 @@
87 <source>mp3 alarm</source> 87 <source>mp3 alarm</source>
88 <translation>alarme mp3</translation> 88 <translation>Alarme mp3</translation>
89 </message> 89 </message>