summaryrefslogtreecommitdiff
path: root/share/tinykate/syntax/verilog.xml
Unidiff
Diffstat (limited to 'share/tinykate/syntax/verilog.xml') (more/less context) (ignore whitespace changes)
-rw-r--r--share/tinykate/syntax/verilog.xml229
1 files changed, 229 insertions, 0 deletions
diff --git a/share/tinykate/syntax/verilog.xml b/share/tinykate/syntax/verilog.xml
new file mode 100644
index 0000000..7484227
--- a/dev/null
+++ b/share/tinykate/syntax/verilog.xml
@@ -0,0 +1,229 @@
1<?xml version="1.0" encoding="UTF-8"?>
2<!DOCTYPE language SYSTEM "language.dtd">
3<!-- author: Yevgen Voronenko (ysv22@drexel.edu) -->
4<!-- $Id$ -->
5<language name="Verilog" version="1.2" kateversion="2.0" section="Sources" extensions="*.v;*.V;*.vl" mimetype="text/x-verilog-src">
6 <highlighting>
7 <list name="keywords">
8 <item> module </item>
9 <item> macromodule </item>
10 <item> endmodule </item>
11 <item> task </item>
12 <item> endtask </item>
13 <item> function </item>
14 <item> endfunction </item>
15 <item> table </item>
16 <item> endtable </item>
17 <item> specify </item>
18 <item> specparam </item>
19 <item> endspecify </item>
20 <item> case </item>
21 <item> casex </item>
22 <item> casez </item>
23 <item> endcase </item>
24 <item> fork </item>
25 <item> join </item>
26
27 <item> defparam </item>
28 <item> default </item>
29 <item> begin </item>
30 <item> end </item>
31 <item> if </item>
32 <item> ifnone </item>
33 <item> else </item>
34 <item> forever </item>
35 <item> while </item>
36 <item> for </item>
37 <item> wait </item>
38 <item> repeat </item>
39 <item> disable </item>
40
41 <item> assign </item>
42 <item> deassign </item>
43 <item> force </item>
44 <item> release </item>
45
46 <item> always </item>
47 <item> initial </item>
48 <item> edge </item>
49 <item> posedge </item>
50 <item> negedge </item>
51 </list>
52
53 <list name="strength">
54 <!-- drive strength supply0/supply1 omitted, its in types.. -->
55 <item> strong0 </item>
56 <item> strong1 </item>
57 <item> pull0 </item>
58 <item> pull1 </item>
59 <item> weak0 </item>
60 <item> weak1 </item>
61 <item> highz0 </item>
62 <item> highz1 </item>
63 <!-- charge strength -->
64 <item> small </item>
65 <item> medium </item>
66 <item> large </item>
67 </list>
68
69 <list name="gates">
70 <item> pullup </item>
71 <item> pulldown </item>
72 <item> cmos </item>
73 <item> rcmos </item>
74 <item> nmos </item>
75 <item> pmos </item>
76 <item> rnmos </item>
77 <item> rpmos </item>
78 <item> and </item>
79 <item> nand </item>
80 <item> or </item>
81 <item> nor </item>
82 <item> xor </item>
83 <item> xnor </item>
84 <item> not </item>
85 <item> buf </item>
86 <item> tran </item>
87 <item> rtran </item>
88 <item> tranif0 </item>
89 <item> tranif1 </item>
90 <item> rtranif0 </item>
91 <item> rtranif1 </item>
92 <item> bufif0 </item>
93 <item> bufif1 </item>
94 <item> notif0 </item>
95 <item> notif1 </item>
96 </list>
97
98 <list name="types">
99 <!-- port direction -->
100 <item> input </item>
101 <item> output </item>
102 <item> inout </item>
103 <!-- net type -->
104 <item> wire </item>
105 <item> tri </item>
106 <item> tri0 </item>
107 <item> tri1 </item>
108 <item> wand </item>
109 <item> wor </item>
110 <item> triand </item>
111 <item> trior </item>
112 <item> supply0 </item>
113 <item> supply1 </item>
114 <!-- reg/variable -->
115 <item> reg </item>
116 <item> integer </item>
117 <item> real </item>
118 <item> realtime </item>
119 <item> time </item>
120 <!-- modifier -->
121 <item> vectored </item>
122 <item> scalared </item>
123 <item> trireg </item>
124 <!-- other -->
125 <item> parameter </item>
126 <item> event </item>
127 </list>
128
129 <contexts>
130 <context attribute="0" lineEndContext="0" name="Normal">
131 <RegExpr attribute="1" context="9" String="begin\ *:"/>
132 <keyword attribute="1" context="0" String="keywords" />
133 <keyword attribute="2" context="0" String="types" />
134 <keyword attribute="18" context="0" String="strength" />
135 <keyword attribute="19" context="0" String="gates" />
136
137 <RegExpr attribute="0" context="0" String="[a-zA-Z]+[a-zA-Z0-9_$]*"/>
138 <RegExpr attribute="0" context="0" String="\\[^ ]+ "/>
139
140 <RegExpr attribute="3" context="0" String="[0-9_]*'d[0-9_]+"/>
141 <RegExpr attribute="4" context="0" String="[0-9_]*'o[0-7xXzZ_]+"/>
142 <RegExpr attribute="5" context="0" String="[0-9_]*'h[0-9a-fA-FxXzZ_]+"/>
143 <RegExpr attribute="6" context="0" String="[0-9_]*'b[01_zZxX]+"/>
144 <Float attribute="7" context="0"/>
145 <Int attribute="15" context="0" />
146
147 <RegExpr attribute="20" context="0" String="[^a-zA-Z0-9_$]\.[a-zA-Z]+[a-zA-Z0-9_$]*"/>
148
149 <DetectChar attribute="8" context="1" char="&quot;"/>
150 <Detect2Chars attribute="10" context="2" char="/" char1="/"/>
151 <Detect2Chars attribute="10" context="3" char="/" char1="*"/>
152 <AnyChar attribute="11" context="0" String="!%&amp;()+,-&lt;=+/:;&gt;?[]^{|}~@"/>
153
154 <StringDetect attribute="10" context="8" String="#if 0" insensitive="FALSE"/>
155
156 <RegExpr attribute="12" context="4" String="^`"/>
157 <RegExpr attribute="12" context="0" String="\`[a-zA-Z_]+[a-zA-Z0-9_]*" />
158 <RegExpr attribute="14" context="0" String="\$[a-zA-Z_]+[a-zA-Z0-9_]*" />
159 <RegExpr attribute="16" context="0" String="#[0-9_]+" />
160 </context>
161 <context attribute="8" lineEndContext="0" name="String">
162 <LineContinue attribute="8" context="6"/>
163 <HlCStringChar attribute="9" context="1"/>
164 <DetectChar attribute="8" context="0" char="&quot;"/>
165 </context>
166 <context attribute="10" lineEndContext="0" name="Commentar 1">
167 <RegExpr attribute="3" context="2" String="(FIXME|TODO)" />
168 </context>
169 <context attribute="10" lineEndContext="3" name="Commentar 2">
170 <RegExpr attribute="3" context="3" String="(FIXME|TODO)" />
171 <Detect2Chars attribute="10" context="0" char="*" char1="/"/>
172 </context>
173 <context attribute="12" lineEndContext="0" name="Preprocessor">
174 <LineContinue attribute="12" context="7"/>
175 <RangeDetect attribute="13" context="4" char="&quot;" char1="&quot;"/>
176 <RangeDetect attribute="13" context="4" char="&lt;" char1="&gt;"/>
177 <Detect2Chars attribute="10" context="2" char="/" char1="/"/>
178 <Detect2Chars attribute="10" context="5" char="/" char1="*"/>
179 </context>
180 <context attribute="10" lineEndContext="5" name="Commentar/Preprocessor">
181 <Detect2Chars attribute="10" context="4" char="*" char1="/" />
182 </context>
183 <context attribute="0" lineEndContext="1" name="Some Context"/>
184 <context attribute="0" lineEndContext="4" name="Some Context2"/>
185 <context attribute="10" lineEndContext="8">
186 <RegExpr attribute="3" context="8" String="(FIXME|TODO)" />
187 <RegExpr attribute="10" context="0" String="^#endif" />
188 </context>
189
190 <context attribute="17" lineEndContext="0" name="Block name">
191 <RegExpr attribute="2" context="0" String="[^ ]+"/>
192 </context>
193 </contexts>
194
195 <itemDatas>
196 <itemData name="Normal Text" defStyleNum="dsNormal"/>
197 <itemData name="Keyword" defStyleNum="dsKeyword"/>
198 <itemData name="Data Type" defStyleNum="dsDataType"/>
199
200 <itemData name="Decimal" defStyleNum="dsBaseN"/>
201 <itemData name="Octal" defStyleNum="dsBaseN"/>
202 <itemData name="Hex" defStyleNum="dsBaseN"/>
203 <itemData name="Binary" defStyleNum="dsBaseN"/>
204 <itemData name="Float" defStyleNum="dsFloat"/>
205
206 <itemData name="String" defStyleNum="dsString"/>
207 <itemData name="String Char" defStyleNum="dsChar"/>
208 <itemData name="Comment" defStyleNum="dsComment"/>
209 <itemData name="Symbol" defStyleNum="dsNormal"/>
210 <itemData name="Preprocessor" defStyleNum="dsOthers"/>
211 <itemData name="Prep. Lib" defStyleNum="dsFloat"/>
212 <itemData name="System Task" defStyleNum="dsDataType"/>
213
214 <itemData name="Integer" defStyleNum="dsDecVal"/>
215 <itemData name="Delay" defStyleNum="dsBaseN"/>
216 <itemData name="Block name" defStyleNum="dsDataType"/>
217 <itemData name="Drive/charge strength" defStyleNum="dsBaseN"/>
218 <itemData name="Gate instantiation" defStyleNum="dsDataType"/>
219 <itemData name="Port connection" defStyleNum="dsDataType"/>
220 </itemDatas>
221 </highlighting>
222 <general>
223 <comments>
224 <comment name="singleLine" start="//" />
225 <comment name="multiLine" start="/*" end="*/" />
226 </comments>
227 <keywords casesensitive="1" />
228 </general>
229</language>