From 2e367962d29b2cbf0bfb9be0691e2661f26e0e50 Mon Sep 17 00:00:00 2001 From: cniehaus Date: Wed, 27 Mar 2002 23:45:06 +0000 Subject: updated .cvsignore --- diff --git a/core/multimedia/opieplayer/wavplugin/.cvsignore b/core/multimedia/opieplayer/wavplugin/.cvsignore index 6fe2396..9dc4ec8 100644 --- a/core/multimedia/opieplayer/wavplugin/.cvsignore +++ b/core/multimedia/opieplayer/wavplugin/.cvsignore @@ -1,2 +1,2 @@ moc_* -Makefile +Makefile* diff --git a/core/pim/datebook/.cvsignore b/core/pim/datebook/.cvsignore index 3135865..5ee25c7 100644 --- a/core/pim/datebook/.cvsignore +++ b/core/pim/datebook/.cvsignore @@ -10,3 +10,7 @@ datebooksettingsbase.h datebooksettingsbase.cpp repeatentrybase.cpp repeatentrybase.h +datebook/datebookweeklstdayhdr.cpp +datebook/datebookweeklstdayhdr.h +datebook/datebookweeklstheader.cpp +datebook/datebookweeklstheader.h diff --git a/noncore/.cvsignore b/noncore/.cvsignore new file mode 100644 index 0000000..c9bb88e --- a/dev/null +++ b/noncore/.cvsignore @@ -0,0 +1,3 @@ +Makefile* +moc_* +*.moc \ No newline at end of file diff --git a/noncore/comm/keypebble/.cvsignore b/noncore/comm/keypebble/.cvsignore index c4782a1..b0527b1 100644 --- a/noncore/comm/keypebble/.cvsignore +++ b/noncore/comm/keypebble/.cvsignore @@ -1,5 +1,5 @@ moc_* *.moc -Makefile +Makefile* vncoptionsbase.cpp vncoptionsbase.h diff --git a/noncore/games/tictac/.cvsignore b/noncore/games/tictac/.cvsignore new file mode 100644 index 0000000..c9bb88e --- a/dev/null +++ b/noncore/games/tictac/.cvsignore @@ -0,0 +1,3 @@ +Makefile* +moc_* +*.moc \ No newline at end of file diff --git a/noncore/net/opieftp/.cvsignore b/noncore/net/opieftp/.cvsignore new file mode 100644 index 0000000..c9bb88e --- a/dev/null +++ b/noncore/net/opieftp/.cvsignore @@ -0,0 +1,3 @@ +Makefile* +moc_* +*.moc \ No newline at end of file -- cgit v0.9.0.2