module macromodule endmodule task endtask function endfunction table endtable specify specparam endspecify case casex casez endcase fork join defparam default begin end if ifnone else forever while for wait repeat disable assign deassign force release always initial edge posedge negedge strong0 strong1 pull0 pull1 weak0 weak1 highz0 highz1 small medium large pullup pulldown cmos rcmos nmos pmos rnmos rpmos and nand or nor xor xnor not buf tran rtran tranif0 tranif1 rtranif0 rtranif1 bufif0 bufif1 notif0 notif1 input output inout wire tri tri0 tri1 wand wor triand trior supply0 supply1 reg integer real realtime time vectored scalared trireg parameter event