summaryrefslogtreecommitdiff
path: root/i18n/fr/clock.ts
authormickeyl <mickeyl>2003-11-06 23:00:29 (UTC)
committer mickeyl <mickeyl>2003-11-06 23:00:29 (UTC)
commit3017bf910c5dbee33cc9586364578b63b666edbc (patch) (side-by-side diff)
treea6b288be805a290f35e715850f7f9d043d5f1cb0 /i18n/fr/clock.ts
parent29bb76c638c81d7aa59b933170c43aa2051b25f4 (diff)
downloadopie-3017bf910c5dbee33cc9586364578b63b666edbc.zip
opie-3017bf910c5dbee33cc9586364578b63b666edbc.tar.gz
opie-3017bf910c5dbee33cc9586364578b63b666edbc.tar.bz2
use translations from BRANCH
Diffstat (limited to 'i18n/fr/clock.ts') (more/less context) (ignore whitespace changes)
-rw-r--r--i18n/fr/clock.ts14
1 files changed, 7 insertions, 7 deletions
diff --git a/i18n/fr/clock.ts b/i18n/fr/clock.ts
index feecf66..6d107f7 100644
--- a/i18n/fr/clock.ts
+++ b/i18n/fr/clock.ts
@@ -12,7 +12,7 @@
</message>
<message>
<source>Stopwatch</source>
- <translation>Chronomètre</translation>
+ <translation>Chrono</translation>
</message>
<message>
<source>Start</source>
@@ -20,11 +20,11 @@
</message>
<message>
<source>Reset</source>
- <translation>Mettre à zéro</translation>
+ <translation>Initialiser</translation>
</message>
<message>
<source>Set Alarm</source>
- <translation>Définir l&apos;alarme</translation>
+ <translation>Définir Alarme</translation>
</message>
<message>
<source>Stop</source>
@@ -44,7 +44,7 @@
</message>
<message>
<source>Set date and time.</source>
- <translation>Paramétrer la date et l&apos;heure.</translation>
+ <translation>Paramétrer Date et Heure.</translation>
</message>
<message>
<source>Clock: Alarm was missed.</source>
@@ -80,12 +80,12 @@
<message>
<source>Snooze Delay
(minutes)</source>
- <translation>Délai avant Sonnerie
-(minutes)</translation>
+ <translation>Délai avant
+Sonnerie (mn)</translation>
</message>
<message>
<source>mp3 alarm</source>
- <translation>alarme mp3</translation>
+ <translation>Alarme mp3</translation>
</message>
<message>
<source>All</source>