summaryrefslogtreecommitdiff
path: root/i18n/de/clock.ts
Unidiff
Diffstat (limited to 'i18n/de/clock.ts') (more/less context) (show whitespace changes)
-rw-r--r--i18n/de/clock.ts9
1 files changed, 5 insertions, 4 deletions
diff --git a/i18n/de/clock.ts b/i18n/de/clock.ts
index b39bb47..e283a23 100644
--- a/i18n/de/clock.ts
+++ b/i18n/de/clock.ts
@@ -1,4 +1,5 @@
1<!DOCTYPE TS><TS> 1<!DOCTYPE TS><TS>
2<defaultcodec>iso8859-1</defaultcodec>
2<context> 3<context>
3 <name>Clock</name> 4 <name>Clock</name>
4 <message> 5 <message>
@@ -27,7 +28,7 @@
27 </message> 28 </message>
28 <message> 29 <message>
29 <source>Stop</source> 30 <source>Stop</source>
30 <translation>Stop</translation> 31 <translation>Stopp</translation>
31 </message> 32 </message>
32 <message> 33 <message>
33 <source>PM</source> 34 <source>PM</source>
@@ -43,7 +44,7 @@
43 </message> 44 </message>
44 <message> 45 <message>
45 <source>Set date and time.</source> 46 <source>Set date and time.</source>
46 <translation>Alarmzeit setzten.</translation> 47 <translation>Datum und Zeit einstellen.</translation>
47 </message> 48 </message>
48 <message> 49 <message>
49 <source>Clock: Alarm was missed.</source> 50 <source>Clock: Alarm was missed.</source>
@@ -54,7 +55,7 @@
54 <name>Set_Alarm</name> 55 <name>Set_Alarm</name>
55 <message> 56 <message>
56 <source>Set Alarm</source> 57 <source>Set Alarm</source>
57 <translation>Alarmzeit einstellen</translation> 58 <translation>Weckzeit einstellen</translation>
58 </message> 59 </message>
59 <message> 60 <message>
60 <source>Hour</source> 61 <source>Hour</source>
@@ -84,7 +85,7 @@
84 </message> 85 </message>
85 <message> 86 <message>
86 <source>All</source> 87 <source>All</source>
87 <translation></translation> 88 <translation>Alle</translation>
88 </message> 89 </message>
89 <message> 90 <message>
90 <source>Audio</source> 91 <source>Audio</source>