From f5fa70864c66da0f12f3d34f6451c74e3bfb3103 Mon Sep 17 00:00:00 2001 From: mickeyl Date: Fri, 24 Jan 2003 21:13:38 +0000 Subject: added syntax highlighting patterns to tinykate --- (limited to 'share/tinykate/syntax/ada.xml') diff --git a/share/tinykate/syntax/ada.xml b/share/tinykate/syntax/ada.xml new file mode 100644 index 0000000..5e4ee9a --- a/dev/null +++ b/share/tinykate/syntax/ada.xml @@ -0,0 +1,101 @@ + + + + + + abort + abs + accept + access + all + and + array + at + begin + body + case + constant + declare + delay + delta + digits + do + else + elsif + end + entry + exception + exit + for + function + generic + goto + if + in + is + limited + loop + mod + new + not + null + of + or + others + out + package + pragma + private + procedure + raise + range + rem + record + renames + return + reverse + select + separate + subtype + task + terminate + then + type + use + when + while + with + xor + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + -- cgit v0.9.0.2