From f5fa70864c66da0f12f3d34f6451c74e3bfb3103 Mon Sep 17 00:00:00 2001 From: mickeyl Date: Fri, 24 Jan 2003 21:13:38 +0000 Subject: added syntax highlighting patterns to tinykate --- (limited to 'share/tinykate/syntax/bash.xml') diff --git a/share/tinykate/syntax/bash.xml b/share/tinykate/syntax/bash.xml new file mode 100644 index 0000000..08e00b0 --- a/dev/null +++ b/share/tinykate/syntax/bash.xml @@ -0,0 +1,82 @@ + + + + + + break + case + done + do + elif + else + esac + exit + export + fi + for + function + if + in + return + select + then + until + while + . + + + cp + date + echo + eval + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + -- cgit v0.9.0.2