From f5fa70864c66da0f12f3d34f6451c74e3bfb3103 Mon Sep 17 00:00:00 2001 From: mickeyl Date: Fri, 24 Jan 2003 21:13:38 +0000 Subject: added syntax highlighting patterns to tinykate --- (limited to 'share/tinykate/syntax/c.xml') diff --git a/share/tinykate/syntax/c.xml b/share/tinykate/syntax/c.xml new file mode 100644 index 0000000..17d7851 --- a/dev/null +++ b/share/tinykate/syntax/c.xml @@ -0,0 +1,126 @@ + + + + + + break + case + continue + default + do + else + enum + extern + for + goto + if + return + sizeof + struct + switch + typedef + union + while + + + auto + char + const + double + float + int + long + register + short + signed + static + unsigned + void + volatile + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + -- cgit v0.9.0.2