From f5fa70864c66da0f12f3d34f6451c74e3bfb3103 Mon Sep 17 00:00:00 2001 From: mickeyl Date: Fri, 24 Jan 2003 21:13:38 +0000 Subject: added syntax highlighting patterns to tinykate --- (limited to 'share/tinykate/syntax/kbasic.xml') diff --git a/share/tinykate/syntax/kbasic.xml b/share/tinykate/syntax/kbasic.xml new file mode 100644 index 0000000..1a57dc7 --- a/dev/null +++ b/share/tinykate/syntax/kbasic.xml @@ -0,0 +1,97 @@ + + + + + + For + Next + Do + Loop + While + Wend + Until + If + Else + End + Function + Goto + Sub + Implements + In + Sub + Private + Public + Global + As + Dim + Set + Let + Get + To + Property + True + False + Or + Not + Xor + And + Then + Exit + Put + Open + Close + Seek + Print + Input + Output + Repeat + Load + Unload + Declare + Option + Explicit + + + Integer + Long + Byte + Boolean + Variant + Single + Double + Currency + String + Object + Control + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + -- cgit v0.9.0.2