From f5fa70864c66da0f12f3d34f6451c74e3bfb3103 Mon Sep 17 00:00:00 2001 From: mickeyl Date: Fri, 24 Jan 2003 21:13:38 +0000 Subject: added syntax highlighting patterns to tinykate --- (limited to 'share/tinykate/syntax/matlab.xml') diff --git a/share/tinykate/syntax/matlab.xml b/share/tinykate/syntax/matlab.xml new file mode 100644 index 0000000..d8bdc51 --- a/dev/null +++ b/share/tinykate/syntax/matlab.xml @@ -0,0 +1,125 @@ + + + + + + break + end + case + continue + do + else + elseif + for + goto + if + return + struct + switch + while + function + otherwise + try + catch + + + global + persistent + double + + + filter + disp + sprintf + fprintf + freqz + title + figure + set + get + fft + zplane + abs + real + imag + angle + waitforbuttonpress + stem + plot + subplot + scatter + stairs + impz + mesh + zoom + semilogx + semilogy + bar + grid + linspace + size + length + load + save + open + close + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + -- cgit v0.9.0.2