From f5fa70864c66da0f12f3d34f6451c74e3bfb3103 Mon Sep 17 00:00:00 2001 From: mickeyl Date: Fri, 24 Jan 2003 21:13:38 +0000 Subject: added syntax highlighting patterns to tinykate --- (limited to 'share/tinykate/syntax/python.xml') diff --git a/share/tinykate/syntax/python.xml b/share/tinykate/syntax/python.xml new file mode 100644 index 0000000..e7722e9 --- a/dev/null +++ b/share/tinykate/syntax/python.xml @@ -0,0 +1,213 @@ + + + + + + + import + from + as + + + + assert + break + class + continue + def + del + elif + else + except + exec + finally + for + global + if + in + is + lambda + not + or + pass + print + raise + return + try + while + yield + + + + abs + apply + buffer + callable + chr + cmp + coerce + compile + complex + copyright + credits + delattr + dir + divmod + eval + execfile + exit + filter + float + getattr + globals + hasattr + hash + hex + id + input + int + intern + isinstance + issubclass + iter + len + license + list + locals + long + map + max + min + oct + open + ord + pow + quit + range + raw_input + reduce + reload + repr + round + setattr + slice + str + tuple + type + unichr + unicode + vars + xrange + zip + + + + None + self + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + -- cgit v0.9.0.2