From f5fa70864c66da0f12f3d34f6451c74e3bfb3103 Mon Sep 17 00:00:00 2001 From: mickeyl Date: Fri, 24 Jan 2003 21:13:38 +0000 Subject: added syntax highlighting patterns to tinykate --- (limited to 'share/tinykate/syntax/ruby.xml') diff --git a/share/tinykate/syntax/ruby.xml b/share/tinykate/syntax/ruby.xml new file mode 100644 index 0000000..d7b6bfb --- a/dev/null +++ b/share/tinykate/syntax/ruby.xml @@ -0,0 +1,152 @@ + + + + + + + __FILE__ + __LINE__ + BEGIN + END + and + begin + break + and + begin + break + case + catch + defined? + do + else + elsif + end + ensure + for + if + in + include + next + not + or + private + protected + public + redo + require + rescue + retry + return + super + then + throw + unless + until + when + while + yield + + + + attr + attr_reader + attr_writer + attr_accessor + + + + alias + module + class + def + undef + + + + nil + false + true + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + -- cgit v0.9.0.2