summaryrefslogtreecommitdiff
path: root/share
authormickeyl <mickeyl>2003-01-24 21:13:38 (UTC)
committer mickeyl <mickeyl>2003-01-24 21:13:38 (UTC)
commitf5fa70864c66da0f12f3d34f6451c74e3bfb3103 (patch) (side-by-side diff)
treead6585cc10ba3397bed82c87a1b692fa0a4b9e43 /share
parent67699ef8fd807a99c08d6401a67e8000bca8f1ca (diff)
downloadopie-f5fa70864c66da0f12f3d34f6451c74e3bfb3103.zip
opie-f5fa70864c66da0f12f3d34f6451c74e3bfb3103.tar.gz
opie-f5fa70864c66da0f12f3d34f6451c74e3bfb3103.tar.bz2
added syntax highlighting patterns to tinykate
Diffstat (limited to 'share') (more/less context) (ignore whitespace changes)
-rw-r--r--share/tinykate/syntax/ada.xml101
-rw-r--r--share/tinykate/syntax/bash.xml82
-rw-r--r--share/tinykate/syntax/c.xml126
-rw-r--r--share/tinykate/syntax/changelog.xml22
-rw-r--r--share/tinykate/syntax/cpp.xml100
-rw-r--r--share/tinykate/syntax/cs.xml153
-rw-r--r--share/tinykate/syntax/css.xml337
-rw-r--r--share/tinykate/syntax/desktop.xml20
-rw-r--r--share/tinykate/syntax/diff.xml33
-rw-r--r--share/tinykate/syntax/eiffel.xml76
-rw-r--r--share/tinykate/syntax/ferite.xml116
-rw-r--r--share/tinykate/syntax/fortran.xml99
-rw-r--r--share/tinykate/syntax/gnuassembler.xml286
-rw-r--r--share/tinykate/syntax/haskell.xml387
-rw-r--r--share/tinykate/syntax/html.xml50
-rw-r--r--share/tinykate/syntax/idconsole.xml2146
-rw-r--r--share/tinykate/syntax/ilerpg.xml269
-rw-r--r--share/tinykate/syntax/java.xml1946
-rw-r--r--share/tinykate/syntax/javascript.xml117
-rw-r--r--share/tinykate/syntax/kbasic.xml97
-rw-r--r--share/tinykate/syntax/latex.xml334
-rw-r--r--share/tinykate/syntax/literate-haskell.xml387
-rw-r--r--share/tinykate/syntax/mab.xml32
-rw-r--r--share/tinykate/syntax/makefile.xml81
-rw-r--r--share/tinykate/syntax/matlab.xml125
-rw-r--r--share/tinykate/syntax/objectivec.xml126
-rw-r--r--share/tinykate/syntax/pascal.xml162
-rw-r--r--share/tinykate/syntax/perl.xml603
-rw-r--r--share/tinykate/syntax/php.xml2767
-rw-r--r--share/tinykate/syntax/postscript.xml440
-rw-r--r--share/tinykate/syntax/python.xml213
-rw-r--r--share/tinykate/syntax/r.xml54
-rw-r--r--share/tinykate/syntax/rpmspec.xml67
-rw-r--r--share/tinykate/syntax/ruby.xml152
-rw-r--r--share/tinykate/syntax/sather.xml141
-rw-r--r--share/tinykate/syntax/scheme.xml247
-rw-r--r--share/tinykate/syntax/sgml.xml47
-rw-r--r--share/tinykate/syntax/sml.xml100
-rw-r--r--share/tinykate/syntax/sql.xml881
-rw-r--r--share/tinykate/syntax/tcl.xml226
-rw-r--r--share/tinykate/syntax/verilog.xml229
-rw-r--r--share/tinykate/syntax/vhdl.xml284
-rw-r--r--share/tinykate/syntax/winehq.xml35
-rw-r--r--share/tinykate/syntax/xml.xml47
-rw-r--r--share/tinykate/syntax/xmldebug.xml592
45 files changed, 14891 insertions, 44 deletions
diff --git a/share/tinykate/syntax/ada.xml b/share/tinykate/syntax/ada.xml
new file mode 100644
index 0000000..5e4ee9a
--- a/dev/null
+++ b/share/tinykate/syntax/ada.xml
@@ -0,0 +1,101 @@
+<?xml version="1.0" encoding="UTF-8"?>
+<!DOCTYPE language SYSTEM "language.dtd">
+<language name="Ada" version="1.00" kateversion="2.0" section="Sources" extensions="*.a" mimetype="text/x-adasrc">
+ <highlighting>
+ <list name="keywords">
+ <item> abort </item>
+ <item> abs </item>
+ <item> accept </item>
+ <item> access </item>
+ <item> all </item>
+ <item> and </item>
+ <item> array </item>
+ <item> at </item>
+ <item> begin </item>
+ <item> body </item>
+ <item> case </item>
+ <item> constant </item>
+ <item> declare </item>
+ <item> delay </item>
+ <item> delta </item>
+ <item> digits </item>
+ <item> do </item>
+ <item> else </item>
+ <item> elsif </item>
+ <item> end </item>
+ <item> entry </item>
+ <item> exception </item>
+ <item> exit </item>
+ <item> for </item>
+ <item> function </item>
+ <item> generic </item>
+ <item> goto </item>
+ <item> if </item>
+ <item> in </item>
+ <item> is </item>
+ <item> limited </item>
+ <item> loop </item>
+ <item> mod </item>
+ <item> new </item>
+ <item> not </item>
+ <item> null </item>
+ <item> of </item>
+ <item> or </item>
+ <item> others </item>
+ <item> out </item>
+ <item> package </item>
+ <item> pragma </item>
+ <item> private </item>
+ <item> procedure </item>
+ <item> raise </item>
+ <item> range </item>
+ <item> rem </item>
+ <item> record </item>
+ <item> renames </item>
+ <item> return </item>
+ <item> reverse </item>
+ <item> select </item>
+ <item> separate </item>
+ <item> subtype </item>
+ <item> task </item>
+ <item> terminate </item>
+ <item> then </item>
+ <item> type </item>
+ <item> use </item>
+ <item> when </item>
+ <item> while </item>
+ <item> with </item>
+ <item> xor </item>
+ </list>
+ <contexts>
+ <context attribute="0" lineEndContext="#stay">
+ <keyword attribute="1" context="#stay" String="keywords"/>
+ <Float attribute="4" context="#stay"/>
+ <Int attribute="2" context="#stay"/>
+ <RegExpr attribute="5" context="#stay" String="'.'"/>
+ <DetectChar attribute="6" context="1" char="&quot;"/>
+ <Detect2Chars attribute="7" context="2" char="-" char1="-"/>
+ </context>
+ <context attribute="6" lineEndContext="#pop">
+ <DetectChar attribute="6" context="#pop" char="&quot;"/>
+ </context>
+ <context attribute="7" lineEndContext="#pop"/>
+ </contexts>
+ <itemDatas>
+ <itemData name="Normal Text" defStyleNum="dsNormal" />
+ <itemData name="Keyword" defStyleNum="dsKeyword" />
+ <itemData name="Decimal" defStyleNum="dsDecVal" />
+ <itemData name="Base-N" defStyleNum="dsBaseN" />
+ <itemData name="Float" defStyleNum="dsFloat" />
+ <itemData name="Char" defStyleNum="dsChar" />
+ <itemData name="String" defStyleNum="dsString" />
+ <itemData name="Comment" defStyleNum="dsComment" />
+ </itemDatas>
+ </highlighting>
+ <general>
+ <comments>
+ <comment name="singleLine" start="--" />
+ </comments>
+ <keywords casesensitive="1" />
+ </general>
+</language>
diff --git a/share/tinykate/syntax/bash.xml b/share/tinykate/syntax/bash.xml
new file mode 100644
index 0000000..08e00b0
--- a/dev/null
+++ b/share/tinykate/syntax/bash.xml
@@ -0,0 +1,82 @@
+<?xml version="1.0" encoding="UTF-8"?>
+<!DOCTYPE language SYSTEM "language.dtd">
+<language name="Bash" section="Scripts" extensions="" mimetype="text/x-shellscript">
+ <highlighting>
+ <list name = "keywords">
+ <item> break </item>
+ <item> case </item>
+ <item> done </item>
+ <item> do </item>
+ <item> elif </item>
+ <item> else </item>
+ <item> esac </item>
+ <item> exit </item>
+ <item> export </item>
+ <item> fi </item>
+ <item> for </item>
+ <item> function </item>
+ <item> if </item>
+ <item> in </item>
+ <item> return </item>
+ <item> select </item>
+ <item> then </item>
+ <item> until </item>
+ <item> while </item>
+ <item> . </item>
+ </list>
+ <list name = "commands">
+ <item> cp </item>
+ <item> date </item>
+ <item> echo </item>
+ <item> eval </item>
+ </list>
+
+ <contexts>
+ <context name = "Base" attribute = "Normal Text" lineEndContext="0">
+ <keyword String = "keywords" attribute = "Keyword" context="0"/>
+ <keyword String = "commands" attribute = "Command" context="0"/>
+ <Int attribute = "Decimal" context="0"/>
+ <RegExpr String = "\$[A-Za-z0-9_?{!]+" attribute = "Parameter" context="0"/>
+ <RangeDetect char = "&quot;" char1 = "&quot;" attribute = "String" context="0"/>
+ <AnyChar String = "|&lt;&gt;=;" attribute = "Operator" context="0"/>
+ <DetectChar char="`" attribute = "Substitution" context = "2"/>
+ <Detect2Chars attribute="0" context="Normal Text" char="\" char1="#"/>
+ <RegExpr String= "#.*$" attribute = "Comment" context="0"/>
+ </context>
+
+ <context name = "String" attribute = "String" lineEndContext="1">
+ <DetectChar char = "&quot;" attribute = "String" context = "0"/>
+ </context>
+
+ <context name = "Substitution" attribute = "Substitution" lineEndContext="2">
+ <DetectChar char = "`" attribute = "Substitution" context = "0"/>
+ </context>
+
+ <context name = "Parameter" attribute = "Parameter" lineEndContext="3">
+ <RegExpr String = "\$[A-Za-z0-9_?]+" attribute = "Parameter" context="0"/>
+ </context>
+
+ </contexts>
+
+ <itemDatas>
+ <itemData name = "Normal Text" defStyleNum = "dsNormal"/>
+ <itemData name = "Keyword" defStyleNum = "dsKeyword"/>
+ <itemData name = "Decimal" defStyleNum = "dsDecVal"/>
+ <itemData name = "Float" defStyleNum = "dsFloat"/>
+ <itemData name = "Char" defStyleNum = "dsChar"/>
+ <itemData name = "String" defStyleNum = "dsString"/>
+ <itemData name = "Comment" defStyleNum = "dsComment"/>
+ <itemData name = "Substitution" defStyleNum = "dsOthers"/>
+ <itemData name = "Parameter" defStyleNum = "dsOthers"/>
+ <itemData name = "Operator" defStyleNum = "dsOthers"/>
+ <itemData name = "Command" defStyleNum = "dsNormal"/>
+ </itemDatas>
+ </highlighting>
+ <general>
+ <comments>
+ <comment name="singleLine" start="#" />
+ </comments>
+ <keywords casesensitive="1" />
+ </general>
+</language>
+
diff --git a/share/tinykate/syntax/c.xml b/share/tinykate/syntax/c.xml
new file mode 100644
index 0000000..17d7851
--- a/dev/null
+++ b/share/tinykate/syntax/c.xml
@@ -0,0 +1,126 @@
+<?xml version="1.0" encoding="UTF-8"?>
+<!DOCTYPE language SYSTEM "language.dtd">
+<language name="C" version="1.00" kateversion="2.0" section="Sources" extensions="*.c;*.C;*.h" mimetype="text/x-c++src;text/x-chdr;text/x-csrc">
+ <highlighting>
+ <list name="keywords">
+ <item> break </item>
+ <item> case </item>
+ <item> continue </item>
+ <item> default </item>
+ <item> do </item>
+ <item> else </item>
+ <item> enum </item>
+ <item> extern </item>
+ <item> for </item>
+ <item> goto </item>
+ <item> if </item>
+ <item> return </item>
+ <item> sizeof </item>
+ <item> struct </item>
+ <item> switch </item>
+ <item> typedef </item>
+ <item> union </item>
+ <item> while </item>
+ </list>
+ <list name="types">
+ <item> auto </item>
+ <item> char </item>
+ <item> const </item>
+ <item> double </item>
+ <item> float </item>
+ <item> int </item>
+ <item> long </item>
+ <item> register </item>
+ <item> short </item>
+ <item> signed </item>
+ <item> static </item>
+ <item> unsigned </item>
+ <item> void </item>
+ <item> volatile </item>
+ </list>
+ <contexts>
+ <context attribute="0" lineEndContext="#stay">
+ <keyword attribute="1" context="#stay" String="keywords"/>
+ <keyword attribute="2" context="#stay" String="types"/>
+ <Float attribute="6" context="#stay">
+ <AnyChar String="fF" attribute="6" context="#stay"/>
+ </Float>
+ <HlCOct attribute="4" context="#stay"/>
+ <HlCHex attribute="5" context="#stay"/>
+ <Int attribute="3" context="#stay" >
+ <StringDetect attribute="3" context="#stay" String="ULL" insensitive="TRUE"/>
+ <StringDetect attribute="3" context="#stay" String="LUL" insensitive="TRUE"/>
+ <StringDetect attribute="3" context="#stay" String="LLU" insensitive="TRUE"/>
+ <StringDetect attribute="3" context="#stay" String="UL" insensitive="TRUE"/>
+ <StringDetect attribute="3" context="#stay" String="LU" insensitive="TRUE"/>
+ <StringDetect attribute="3" context="#stay" String="LL" insensitive="TRUE"/>
+ <StringDetect attribute="3" context="#stay" String="U" insensitive="TRUE"/>
+ <StringDetect attribute="3" context="#stay" String="L" insensitive="TRUE"/>
+ </Int>
+ <HlCChar attribute="7" context="#stay"/>
+ <DetectChar attribute="8" context="1" char="&quot;"/>
+ <Detect2Chars attribute="10" context="2" char="/" char1="/"/>
+ <Detect2Chars attribute="10" context="3" char="/" char1="*"/>
+ <AnyChar attribute="11" context="#stay" String="!%&amp;()+,-&lt;=&gt;?[]^{|}~"/>
+ <StringDetect attribute="12" context="8" String="#if 0" insensitive="FALSE"/>
+ <RegExpr attribute="12" context="4" String="#"/>
+ </context>
+ <context attribute="8" lineEndContext="#pop">
+ <LineContinue attribute="8" context="#stay"/>
+ <HlCStringChar attribute="9" context="#stay"/>
+ <DetectChar attribute="8" context="#pop" char="&quot;"/>
+ </context>
+ <context attribute="10" lineEndContext="#pop">
+ <RegExpr attribute="3" context="#stay" String="(FIXME|TODO)" />
+ </context>
+ <context attribute="10" lineEndContext="#stay">
+ <Detect2Chars attribute="10" context="#pop" char="*" char1="/"/>
+ <RegExpr attribute="3" context="#stay" String="(FIXME|TODO)" />
+ </context>
+ <context attribute="12" lineEndContext="#pop">
+ <LineContinue attribute="12" context="#stay"/>
+ <RangeDetect attribute="13" context="#stay" char="&quot;" char1="&quot;"/>
+ <RangeDetect attribute="13" context="#stay" char="&lt;" char1="&gt;"/>
+ <Detect2Chars attribute="10" context="2" char="/" char1="/"/>
+ <Detect2Chars attribute="10" context="5" char="/" char1="*"/>
+ </context>
+ <context attribute="10" lineEndContext="#stay">
+ <Detect2Chars attribute="10" context="#pop" char="*" char1="/" />
+ </context>
+ <context attribute="0" lineEndContext="#pop" />
+ <context attribute="0" lineEndContext="#pop" />
+ <context attribute="10" lineEndContext="#stay">
+ <RegExpr attribute="3" context="#stay" String="(FIXME|TODO)" />
+ <RegExpr attribute="10" context="9" String="#if" />
+ <RegExpr attribute="12" context="#pop" String="#endif" />
+ <RegExpr attribute="12" context="#pop" String="#else" />
+ </context>
+ <context attribute="10" lineEndContext="#stay">
+ <RegExpr attribute="10" context="9" String="#if" />
+ <RegExpr attribute="10" context="#pop" String="#endif" />
+ </context>
+ </contexts>
+ <itemDatas>
+ <itemData name="Normal Text" defStyleNum="dsNormal"/>
+ <itemData name="Keyword" defStyleNum="dsKeyword"/>
+ <itemData name="Data Type" defStyleNum="dsDataType"/>
+ <itemData name="Decimal" defStyleNum="dsDecVal"/>
+ <itemData name="Octal" defStyleNum="dsBaseN"/>
+ <itemData name="Hex" defStyleNum="dsBaseN"/>
+ <itemData name="Float" defStyleNum="dsFloat"/>
+ <itemData name="Char" defStyleNum="dsChar"/>
+ <itemData name="String" defStyleNum="dsString"/>
+ <itemData name="String Char" defStyleNum="dsChar"/>
+ <itemData name="Comment" defStyleNum="dsComment"/>
+ <itemData name="Symbol" defStyleNum="dsNormal"/>
+ <itemData name="Preprocessor" defStyleNum="dsOthers"/>
+ <itemData name="Prep. Lib" defStyleNum="dsOthers"/> <!--,Qt::darkYellow,Qt::yellow,false,false)); -->
+ </itemDatas>
+ </highlighting>
+ <general>
+ <comments>
+ <comment name="multiLine" start="/*" end="*/" />
+ </comments>
+ <keywords casesensitive="1" />
+ </general>
+</language>
diff --git a/share/tinykate/syntax/changelog.xml b/share/tinykate/syntax/changelog.xml
new file mode 100644
index 0000000..626bec1
--- a/dev/null
+++ b/share/tinykate/syntax/changelog.xml
@@ -0,0 +1,22 @@
+<?xml version="1.0" encoding="UTF-8"?>
+<!DOCTYPE language SYSTEM "language.dtd">
+<language name="ChangeLog" section="Other" extensions="ChangeLog" mimetype="">
+ <highlighting>
+ <contexts>
+ <context attribute="0" lineEndContext="#stay">
+ <RegExpr attribute="1" context="#stay" String="^\d\d\d\d\s*-\s*\d\d\s*-\s*\d\d.*$"/>
+ </context>
+ </contexts>
+ <itemDatas>
+ <itemData name="Normal Text" defStyleNum="dsNormal"/>
+ <itemData name="Keyword" defStyleNum="dsKeyword"/>
+ <itemData name="Identifier" defStyleNum="dsOthers"/>
+ <itemData name="Types" defStyleNum="dsDataType"/>
+ <itemData name="String" defStyleNum="dsString"/>
+ <itemData name="Comment" defStyleNum="dsComment"/>
+ </itemDatas>
+ </highlighting>
+ <general>
+ <keywords casesensitive="1" />
+ </general>
+</language>
diff --git a/share/tinykate/syntax/cpp.xml b/share/tinykate/syntax/cpp.xml
index 889457c..8dda2a9 100644
--- a/share/tinykate/syntax/cpp.xml
+++ b/share/tinykate/syntax/cpp.xml
@@ -1,6 +1,6 @@
<?xml version="1.0" encoding="UTF-8"?>
<!DOCTYPE language SYSTEM "language.dtd">
-<language name="C++" section="Sources" extensions="*.cxx;*.cpp;*.cc;*.C;*.h" mimetype="text/x-c++-src;text/x-c++-hdr;text/x-c-hdr;text/x-c++-src">
+<language name="C++" version="1.01" kateversion="2.0" section="Sources" extensions="*.cxx;*.cpp;*.cc;*.C;*.h" mimetype="text/x-c++src;text/x-c++hdr;text/x-chdr;text/x-c++src">
<highlighting>
<list name="keywords">
<item> class </item>
@@ -17,11 +17,13 @@
<item> reinterpret_cast </item>
<item> static_cast </item>
<item> dynamic_cast</item>
+ <item> explicit </item>
<item> template </item>
<item> this </item>
<item> throw </item>
<item> true </item>
<item> try </item>
+ <item> catch </item>
<item> typeid </item>
<item> typename </item>
<item> using </item>
@@ -106,59 +108,69 @@
<item> uint64_t </item>
</list>
<contexts>
- <context attribute="0" lineEndContext="0" name="Normal">
- <keyword attribute="1" context="0" String="keywords" />
- <keyword attribute="2" context="0" String="types" />
- <Float attribute="6" context="0">
- <AnyChar String="fF" attribute="6" context="0"/>
+ <context attribute="0" lineEndContext="#stay" name="Normal">
+ <RegExpr attribute="3" context="#stay" String="//BEGIN.*$" beginRegion="Region1"/>
+ <RegExpr attribute="3" context="#stay" String="//END.*$" endRegion="Region1"/>
+ <keyword attribute="1" context="#stay" String="keywords" />
+ <keyword attribute="2" context="#stay" String="types" />
+ <Float attribute="6" context="#stay">
+ <AnyChar String="fF" attribute="6" context="#stay"/>
</Float>
- <HlCOct attribute="4" context="0"/>
- <HlCHex attribute="5" context="0"/>
- <Int attribute="3" context="0" >
- <StringDetect attribute="3" context="0" String="ULL" insensitive="TRUE"/>
- <StringDetect attribute="3" context="0" String="LUL" insensitive="TRUE"/>
- <StringDetect attribute="3" context="0" String="LLU" insensitive="TRUE"/>
- <StringDetect attribute="3" context="0" String="UL" insensitive="TRUE"/>
- <StringDetect attribute="3" context="0" String="LU" insensitive="TRUE"/>
- <StringDetect attribute="3" context="0" String="LL" insensitive="TRUE"/>
- <StringDetect attribute="3" context="0" String="U" insensitive="TRUE"/>
- <StringDetect attribute="3" context="0" String="L" insensitive="TRUE"/>
+ <HlCOct attribute="4" context="#stay"/>
+ <HlCHex attribute="5" context="#stay"/>
+ <Int attribute="3" context="#stay" >
+ <StringDetect attribute="3" context="#stay" String="ULL" insensitive="TRUE"/>
+ <StringDetect attribute="3" context="#stay" String="LUL" insensitive="TRUE"/>
+ <StringDetect attribute="3" context="#stay" String="LLU" insensitive="TRUE"/>
+ <StringDetect attribute="3" context="#stay" String="UL" insensitive="TRUE"/>
+ <StringDetect attribute="3" context="#stay" String="LU" insensitive="TRUE"/>
+ <StringDetect attribute="3" context="#stay" String="LL" insensitive="TRUE"/>
+ <StringDetect attribute="3" context="#stay" String="U" insensitive="TRUE"/>
+ <StringDetect attribute="3" context="#stay" String="L" insensitive="TRUE"/>
</Int>
- <HlCChar attribute="7" context="0"/>
- <DetectChar attribute="8" context="1" char="&quot;"/>
- <Detect2Chars attribute="10" context="2" char="/" char1="/"/>
- <Detect2Chars attribute="10" context="3" char="/" char1="*"/>
- <AnyChar attribute="11" context="0" String="!%&amp;()+,-&lt;=&gt;?[]^{|}~"/>
- <StringDetect attribute="10" context="8" String="#if 0" insensitive="FALSE"/>
- <RegExpr attribute="12" context="4" String="^#"/>
+ <HlCChar attribute="7" context="#stay"/>
+ <DetectChar attribute="8" context="String" char="&quot;"/>
+ <Detect2Chars attribute="10" context="Commentar 1" char="/" char1="/"/>
+ <Detect2Chars attribute="10" context="Commentar 2" char="/" char1="*" beginRegion="Comment"/>
+ <DetectChar attribute="0" context="#stay" char="{" beginRegion="Brace1" />
+ <DetectChar attribute="0" context="#stay" char="}" endRegion="Brace1" />
+ <AnyChar attribute="11" context="#stay" String="!%&amp;()+,-&lt;=&gt;?[]^{|}~"/>
+ <StringDetect attribute="12" context="8" String="#if 0" insensitive="FALSE"/>
+ <RegExpr attribute="12" context="4" String="#"/>
</context>
- <context attribute="8" lineEndContext="0" name="String">
- <LineContinue attribute="8" context="6"/>
- <HlCStringChar attribute="9" context="1"/>
- <DetectChar attribute="8" context="0" char="&quot;"/>
+ <context attribute="8" lineEndContext="#pop" name="String">
+ <LineContinue attribute="8" context="#stay"/>
+ <HlCStringChar attribute="9" context="#stay"/>
+ <DetectChar attribute="8" context="#pop" char="&quot;"/>
</context>
- <context attribute="10" lineEndContext="0" name="Commentar 1">
- <RegExpr attribute="3" context="2" String="(FIXME|TODO)" />
+ <context attribute="10" lineEndContext="#pop" name="Commentar 1">
+ <RegExpr attribute="3" context="#stay" String="(FIXME|TODO)" />
</context>
- <context attribute="10" lineEndContext="3" name="Commentar 2">
- <RegExpr attribute="3" context="3" String="(FIXME|TODO)" />
- <Detect2Chars attribute="10" context="0" char="*" char1="/"/>
+ <context attribute="10" lineEndContext="#stay" name="Commentar 2">
+ <RegExpr attribute="3" context="#stay" String="(FIXME|TODO)" />
+ <Detect2Chars attribute="10" context="#pop" char="*" char1="/" endRegion="Comment"/>
</context>
- <context attribute="12" lineEndContext="0" name="Preprocessor">
- <LineContinue attribute="12" context="7"/>
- <RangeDetect attribute="13" context="4" char="&quot;" char1="&quot;"/>
- <RangeDetect attribute="13" context="4" char="&lt;" char1="&gt;"/>
- <Detect2Chars attribute="10" context="2" char="/" char1="/"/>
- <Detect2Chars attribute="10" context="5" char="/" char1="*"/>
+ <context attribute="12" lineEndContext="#pop" name="Preprocessor">
+ <LineContinue attribute="12" context="#stay"/>
+ <RangeDetect attribute="13" context="#stay" char="&quot;" char1="&quot;"/>
+ <RangeDetect attribute="13" context="#stay" char="&lt;" char1="&gt;"/>
+ <Detect2Chars attribute="10" context="Commentar 1" char="/" char1="/"/>
+ <Detect2Chars attribute="10" context="Commentar/Preprocessor" char="/" char1="*"/>
</context>
- <context attribute="10" lineEndContext="5" name="Commentar/Preprocessor">
- <Detect2Chars attribute="10" context="4" char="*" char1="/" />
+ <context attribute="10" lineEndContext="#stay" name="Commentar/Preprocessor">
+ <Detect2Chars attribute="10" context="#pop" char="*" char1="/" />
</context>
<context attribute="0" lineEndContext="1" name="Some Context"/>
<context attribute="0" lineEndContext="4" name="Some Context2"/>
- <context attribute="10" lineEndContext="8">
- <RegExpr attribute="3" context="8" String="(FIXME|TODO)" />
- <RegExpr attribute="10" context="0" String="^#endif" />
+ <context attribute="10" lineEndContext="#stay">
+ <RegExpr attribute="3" context="#stay" String="(FIXME|TODO)" />
+ <RegExpr attribute="10" context="9" String="#if" />
+ <RegExpr attribute="12" context="#pop" String="#endif" />
+ <RegExpr attribute="12" context="#pop" String="#else" />
+ </context>
+ <context attribute="10" lineEndContext="#stay">
+ <RegExpr attribute="10" context="9" String="#if" />
+ <RegExpr attribute="10" context="#pop" String="#endif" />
</context>
</contexts>
<itemDatas>
diff --git a/share/tinykate/syntax/cs.xml b/share/tinykate/syntax/cs.xml
new file mode 100644
index 0000000..e0c664b
--- a/dev/null
+++ b/share/tinykate/syntax/cs.xml
@@ -0,0 +1,153 @@
+<!DOCTYPE language SYSTEM "language.dtd">
+<language name="C#" section="Sources" extensions="*.cs" mimetype="text/x-csharp-src;text/x-csharp-hde">
+ <highlighting>
+ <list name="keywords">
+ <item> abstract</item>
+ <item> enum</item>
+ <item> stackalloc</item>
+ <item> as</item>
+ <item> event</item>
+ <item> namespace</item>
+ <item> static</item>
+ <item> base</item>
+ <item> explicit</item>
+ <item> extern</item>
+ <item> null</item>
+ <item> struct</item>
+ <item> break</item>
+ <item> switch</item>
+ <item> finally</item>
+ <item> operator</item>
+ <item> this</item>
+ <item> case</item>
+ <item> fixed</item>
+ <item> out</item>
+ <item> throw</item>
+ <item> catch</item>
+ <item> override</item>
+ <item> for</item>
+ <item> params</item>
+ <item> try</item>
+ <item> foreach</item>
+ <item> private</item>
+ <item> class</item>
+ <item> goto</item>
+ <item> protected</item>
+ <item> if</item>
+ <item> public</item>
+ <item> continue</item>
+ <item> implicit</item>
+ <item> readonly</item>
+ <item> in</item>
+ <item> ref</item>
+ <item> unsafe</item>
+ <item> default</item>
+ <item> return</item>
+ <item> delegate</item>
+ <item> interface</item>
+ <item> using</item>
+ <item> do</item>
+ <item> internal</item>
+ <item> sealed</item>
+ <item> virtual</item>
+ <item> else</item>
+ <item> lock</item>
+ <item> while</item>
+ <item> #if</item>
+ <item> #else</item>
+ <item> #elif</item>
+ <item> #endif</item>
+ <item> #define</item>
+ <item> #undef</item>
+ <item> #warning</item>
+ <item> #error</item>
+ <item> #line</item>
+ <item> #region</item>
+ <item> #endregion</item>
+ <item> true</item>
+ <item> false</item>
+ <item> new</item>
+ <item> sizeof</item>
+ <item> typeof</item>
+ <item> is</item>
+ <item> checked</item>
+ <item> unchecked</item>
+ <item> base</item>
+ </list>
+ <list name="types">
+ <item> long</item>
+ <item> string</item>
+ <item> bool</item>
+ <item> object</item>
+ <item> byte</item>
+ <item> float</item>
+ <item> char</item>
+ <item> uint</item>
+ <item> const</item>
+ <item> ulong</item>
+ <item> decimal</item>
+ <item> int</item>
+ <item> ushort</item>
+ <item> sbyte</item>
+ <item> double</item>
+ <item> short</item>
+ <item> void</item>
+ </list>
+ <contexts>
+ <context attribute="0" lineEndContext="0" name="Normal">
+ <keyword attribute="1" context="0" String="keywords"/>
+ <keyword attribute="2" context="0" String="types" />
+ <Float attribute="6" context="0">
+ <AnyChar String="fF" attribute="6" context="0"/>
+ </Float>
+ <HlCOct attribute="4" context="0"/>
+ <HlCHex attribute="5" context="0"/>
+ <Int attribute="3" context="0" >
+ <StringDetect attribute="3" context="0" String="ULL" insensitive="TRUE"/>
+ <StringDetect attribute="3" context="0" String="LUL" insensitive="TRUE"/>
+ <StringDetect attribute="3" context="0" String="LLU" insensitive="TRUE"/>
+ <StringDetect attribute="3" context="0" String="UL" insensitive="TRUE"/>
+ <StringDetect attribute="3" context="0" String="LU" insensitive="TRUE"/>
+ <StringDetect attribute="3" context="0" String="LL" insensitive="TRUE"/>
+ <StringDetect attribute="3" context="0" String="U" insensitive="TRUE"/>
+ <StringDetect attribute="3" context="0" String="L" insensitive="TRUE"/>
+ </Int>
+ <HlCChar attribute="7" context="0"/>
+ <DetectChar attribute="8" context="1" char="&quot;"/>
+ <Detect2Chars attribute="10" context="2" char="/" char1="/"/>
+ <Detect2Chars attribute="10" context="3" char="/" char1="*"/>
+ <AnyChar attribute="11" context="0" String="-+*/!~%^|=.?:{[()]}"/>
+ </context>
+ <context attribute="8" lineEndContext="0" name="String">
+ <LineContinue attribute="8" context="0"/>
+ <HlCStringChar attribute="9" context="1"/>
+ <DetectChar attribute="8" context="0" char="&quot;"/>
+ </context>
+ <context attribute="10" lineEndContext="0" name="Commentar 1"/>
+ <context attribute="10" lineEndContext="3" name="Commentar 2">
+ <Detect2Chars attribute="10" context="0" char="*" char1="/"/>
+ </context>
+ </contexts>
+ <itemDatas>
+ <itemData name="Normal Text" defStyleNum="dsNormal"/>
+ <itemData name="Keyword" defStyleNum="dsKeyword"/>
+ <itemData name="Data Type" defStyleNum="dsDataType"/>
+ <itemData name="Decimal" defStyleNum="dsDecVal"/>
+ <itemData name="Octal" defStyleNum="dsBaseN"/>
+ <itemData name="Hex" defStyleNum="dsBaseN"/>
+ <itemData name="Float" defStyleNum="dsFloat"/>
+ <itemData name="Char" defStyleNum="dsChar"/>
+ <itemData name="String" defStyleNum="dsString"/>
+ <itemData name="String Char" defStyleNum="dsChar"/>
+ <itemData name="Comment" defStyleNum="dsComment"/>
+ <itemData name="Symbol" defStyleNum="dsNormal"/>
+ </itemDatas>
+ </highlighting>
+ <general>
+ <comments>
+ <comment name="singleLine" start="//" />
+ <comment name="multiLine" start="/*" end="*/" />
+ </comments>
+ <keywords casesensitive="1" />
+ </general>
+</language>
diff --git a/share/tinykate/syntax/css.xml b/share/tinykate/syntax/css.xml
new file mode 100644
index 0000000..74f5d7a
--- a/dev/null
+++ b/share/tinykate/syntax/css.xml
@@ -0,0 +1,337 @@
+<?xml version="1.0" encoding="UTF-8"?>
+<!DOCTYPE language SYSTEM "language.dtd">
+<language name="CSS" version="1.00" kateversion="2.0" section="Markup" extensions="*.css" mimetype="text/css">
+ <highlighting>
+ <list name="keywords">
+ <item> azimuth </item>
+ <item> background-attachment </item>
+ <item> background-color </item>
+ <item> background-image </item>
+ <item> background-position </item>
+ <item> background-repeat </item>
+ <item> border-collapse </item>
+ <item> border-spacing </item>
+ <item> border-top-color </item>
+ <item> border-right-color </item>
+ <item> border-bottom-color </item>
+ <item> border-left-color </item>
+ <item> border-top-style </item>
+ <item> border-right-style </item>
+ <item> border-bottom-style </item>
+ <item> border-left-style </item>
+ <item> border-top-width </item>
+ <item> border-right-width </item>
+ <item> border-bottom-width </item>
+ <item> border-left-width </item>
+ <item> bottom </item>
+ <item> caption-side </item>
+ <item> clear </item>
+ <item> clip </item>
+ <item> color </item>
+ <item> content </item>
+ <item> counter-increment </item>
+ <item> counter-reset </item>
+ <item> cue-after </item>
+ <item> cue-before </item>
+ <item> cursor </item>
+ <item> direction </item>
+ <item> display </item>
+ <item> elevation </item>
+ <item> empty-cells </item>
+ <item> float </item>
+ <item> font-family </item>
+ <item> font-size </item>
+ <item> font-size-adjust </item>
+ <item> font-stretch </item>
+ <item> font-style </item>
+ <item> font-variant </item>
+ <item> font-weight </item>
+ <item> height </item>
+ <item> left </item>
+ <item> letter-spacing </item>
+ <item> line-height </item>
+ <item> list-style-image </item>
+ <item> list-style-position </item>
+ <item> list-style-keyword </item>
+ <item> margin-top </item>
+ <item> margin-right </item>
+ <item> margin-bottom </item>
+ <item> margin-left </item>
+ <item> marker-offset </item>
+ <item> max-height </item>
+ <item> max-width </item>
+ <item> min-height </item>
+ <item> min-width </item>
+ <item> orphans </item>
+ <item> outline-color </item>
+ <item> outline-style </item>
+ <item> outline-width </item>
+ <item> overflow </item>
+ <item> padding-top </item>
+ <item> padding-right </item>
+ <item> padding-bottom </item>
+ <item> padding-left </item>
+ <item> page </item>
+ <item> page-break-after </item>
+ <item> page-break-before </item>
+ <item> page-break-inside </item>
+ <item> pause-after </item>
+ <item> pause-before </item>
+ <item> pitch </item>
+ <item> pitch-range </item>
+ <item> play-during </item>
+ <item> position </item>
+ <item> quotes </item>
+ <item> richness </item>
+ <item> right </item>
+ <item> size </item>
+ <item> speak </item>
+ <item> speak-header </item>
+ <item> speak-numeral </item>
+ <item> speak-punctuation </item>
+ <item> speech-rate </item>
+ <item> stress </item>
+ <item> table-layout </item>
+ <item> text-align </item>
+ <item> text-decoration </item>
+ <item> text-decoration-color </item>
+ <item> text-indent </item>
+ <item> text-shadow </item>
+ <item> text-transform </item>
+ <item> top </item>
+ <item> unicode-bidi </item>
+ <item> vertical-align </item>
+ <item> visibility </item>
+ <item> voice-family </item>
+ <item> volume </item>
+ <item> white-space </item>
+ <item> widows </item>
+ <item> width </item>
+ <item> word-spacing </item>
+ <item> z-index </item>
+ <item> background </item>
+ <item> border </item>
+ <item> border-color </item>
+ <item> border-style </item>
+ <item> border-top </item>
+ <item> border-right </item>
+ <item> border-bottom </item>
+ <item> border-left </item>
+ <item> border-width </item>
+ <item> cue </item>
+ <item> font </item>
+ <item> list-style </item>
+ <item> margin </item>
+ <item> outline </item>
+ <item> padding </item>
+ <item> pause </item>
+ <item> konq_bgpos_x </item>
+ <item> konq_bgpos_y </item>
+ </list>
+ <list name="types">
+ <item> inherit </item>
+ <item> none </item>
+ <item> hidden </item>
+ <item> dotted </item>
+ <item> dashed </item>
+ <item> solid </item>
+ <item> double </item>
+ <item> groove </item>
+ <item> ridge </item>
+ <item> inset </item>
+ <item> outset </item>
+ <item> xx-small </item>
+ <item> x-small </item>
+ <item> small </item>
+ <item> medium </item>
+ <item> large </item>
+ <item> x-large </item>
+ <item> xx-large </item>
+ <item> smaller </item>
+ <item> larger </item>
+ <item> italic </item>
+ <item> oblique </item>
+ <item> small-caps </item>
+ <item> normal </item>
+ <item> bold </item>
+ <item> bolder </item>
+ <item> lighter </item>
+ <item> light </item>
+ <item> 100 </item>
+ <item> 200 </item>
+ <item> 300 </item>
+ <item> 400 </item>
+ <item> 500 </item>
+ <item> 600 </item>
+ <item> 700 </item>
+ <item> 800 </item>
+ <item> 900 </item>
+ <item> transparent </item>
+ <item> repeat </item>
+ <item> repeat-x </item>
+ <item> repeat-y </item>
+ <item> no-repeat </item>
+ <item> baseline </item>
+ <item> sub </item>
+ <item> super </item>
+ <item> top </item>
+ <item> text-top </item>
+ <item> middle </item>
+ <item> bottom </item>
+ <item> text-bottom </item>
+ <item> left </item>
+ <item> right </item>
+ <item> center </item>
+ <item> justify </item>
+ <item> konq-center </item>
+ <item> disc </item>
+ <item> circle </item>
+ <item> square </item>
+ <item> decimal </item>
+ <item> decimal-leading-zero </item>
+ <item> lower-roman </item>
+ <item> upper-roman </item>
+ <item> lower-greek </item>
+ <item> lower-alpha </item>
+ <item> lower-latin </item>
+ <item> upper-alpha </item>
+ <item> upper-latin </item>
+ <item> hebrew </item>
+ <item> armenian </item>
+ <item> georgian </item>
+ <item> cjk-ideographic </item>
+ <item> hiragana </item>
+ <item> katakana </item>
+ <item> hiragana-iroha </item>
+ <item> katakana-iroha </item>
+ <item> inline </item>
+ <item> block </item>
+ <item> list-item </item>
+ <item> run-in </item>
+ <item> compact </item>
+ <item> marker </item>
+ <item> table </item>
+ <item> inline-table </item>
+ <item> table-row-group </item>
+ <item> table-header-group </item>
+ <item> table-footer-group </item>
+ <item> table-row </item>
+ <item> table-column-group </item>
+ <item> table-column </item>
+ <item> table-cell </item>
+ <item> table-caption </item>
+ <item> auto </item>
+ <item> crosshair </item>
+ <item> default </item>
+ <item> pointer </item>
+ <item> move </item>
+ <item> e-resize </item>
+ <item> ne-resize </item>
+ <item> nw-resize </item>
+ <item> n-resize </item>
+ <item> se-resize </item>
+ <item> sw-resize </item>
+ <item> s-resize </item>
+ <item> w-resize </item>
+ <item> text </item>
+ <item> wait </item>
+ <item> help </item>
+ <item> above </item>
+ <item> absolute </item>
+ <item> always </item>
+ <item> avoid </item>
+ <item> below </item>
+ <item> bidi-override </item>
+ <item> blink </item>
+ <item> both </item>
+ <item> capitalize </item>
+ <item> caption </item>
+ <item> close-quote </item>
+ <item> collapse </item>
+ <item> condensed </item>
+ <item> crop </item>
+ <item> cross </item>
+ <item> embed </item>
+ <item> expanded </item>
+ <item> extra-condensed </item>
+ <item> extra-expanded </item>
+ <item> fixed </item>
+ <item> hand </item>
+ <item> hide </item>
+ <item> higher </item>
+ <item> icon </item>
+ <item> inside </item>
+ <item> invert </item>
+ <item> landscape </item>
+ <item> level </item>
+ <item> line-through </item>
+ <item> loud </item>
+ <item> lower </item>
+ <item> lowercase </item>
+ <item> ltr </item>
+ <item> menu </item>
+ <item> message-box </item>
+ <item> mix </item>
+ <item> narrower </item>
+ <item> no-close-quote </item>
+ <item> no-open-quote </item>
+ <item> nowrap </item>
+ <item> open-quote </item>
+ <item> outside </item>
+ <item> overline </item>
+ <item> portrait </item>
+ <item> pre </item>
+ <item> relative </item>
+ <item> rtl </item>
+ <item> scroll </item>
+ <item> semi-condensed </item>
+ <item> semi-expanded </item>
+ <item> separate </item>
+ <item> show </item>
+ <item> small-caption </item>
+ <item> static </item>
+ <item> static-position </item>
+ <item> status-bar </item>
+ <item> thick </item>
+ <item> thin </item>
+ <item> ultra-condensed </item>
+ <item> ultra-expanded </item>
+ <item> underline </item>
+ <item> uppercase </item>
+ <item> visible </item>
+ <item> wider </item>
+ <item> break </item>
+ </list>
+ <contexts>
+ <context attribute="0" lineEndContext="#stay" name="Normal">
+ <Detect2Chars attribute="5" context="2" char="/" char1="*" />
+ <DetectChar attribute="0" context="1" char="{" />
+ </context>
+ <context attribute="0" lineEndContext="#stay" name="Rules">
+ <DetectChar attribute="0" context="#pop" char="}" />
+ <Detect2Chars attribute="5" context="2" char="/" char1="*" />
+ <keyword attribute="1" context="#stay" String="keywords" />
+ <keyword attribute="2" context="#stay" String="types" />
+ </context>
+ <context attribute="5" lineEndContext="#stay" name="Comment">
+ <Detect2Chars attribute="5" context="#pop" char="*" char1="/" />
+ <RegExpr attribute="6" context= "#stay" string="(FIXME|TODO|NOTE)" />
+ </context>
+ </contexts>
+ <itemDatas>
+ <itemData name="Normal Text" defStyleNum="dsNormal"/>
+ <itemData name="Keyword" defStyleNum="dsKeyword"/>
+ <itemData name="Data Type" defStyleNum="dsDataType"/>
+ <itemData name="Decimal" defStyleNum="dsDecVal"/>
+ <itemData name="Float" defStyleNum="dsFloat"/>
+ <itemData name="Comment" defStyleNum="dsComment" />
+ <itemData name="Notice" defStyleNum="dsString" />
+ </itemDatas>
+ </highlighting>
+ <general>
+ <keywords casesensitive="1" weakDeliminator="-"/>
+ <comments>
+ <comment name="multiLine" start="/*" end="*/" />
+ </comments>
+ </general>
+</language>
diff --git a/share/tinykate/syntax/desktop.xml b/share/tinykate/syntax/desktop.xml
new file mode 100644
index 0000000..3c1f6e3
--- a/dev/null
+++ b/share/tinykate/syntax/desktop.xml
@@ -0,0 +1,20 @@
+<?xml version="1.0" encoding="UTF-8"?>
+<!DOCTYPE language SYSTEM "language.dtd">
+<language name=".desktop" section="Other" extensions="*.desktop;*.kdelnk" mimetype="application/x-desktop">
+ <highlighting>
+ <contexts>
+ <context attribute="2" lineEndContext="#stay" name="Normal">
+ <RegExpr String="^\[.*\]$" attribute="Section" context="#stay"/>
+ <RegExpr String="\[.*\]" attribute="Language" context="1"/>
+ <DetectChar char="=" attribute="0" context="1"/>
+ </context>
+ <context attribute="0" lineEndContext="#pop" name="Value"/>
+ </contexts>
+ <itemDatas>
+ <itemData name="Normal Text" defStyleNum="dsNormal"/>
+ <itemData name="Section" defStyleNum="dsKeyword"/>
+ <itemData name="Key" defStyleNum="dsDataType"/>
+ <itemData name="Language" defStyleNum="dsNormal" color="#0000FF" selColor="#ffffff" italic="0" bold="1"/>
+ </itemDatas>
+ </highlighting>
+</language>
diff --git a/share/tinykate/syntax/diff.xml b/share/tinykate/syntax/diff.xml
new file mode 100644
index 0000000..2d71403
--- a/dev/null
+++ b/share/tinykate/syntax/diff.xml
@@ -0,0 +1,33 @@
+<?xml version="1.0" encoding="UTF-8"?>
+<!DOCTYPE language SYSTEM "language.dtd">
+<language name="Diff" version="1.00" kateversion="2.0" section="Other" extensions="*.diff;*patch" mimetype="text/x-diff">
+ <highlighting>
+
+ <contexts>
+ <context attribute="0" lineEndContext="#stay">
+ <RegExpr attribute="1" context="1" String="^(\+\+\+|\-\-\-|\*\*\*|diff|\d)" />
+ <RegExpr attribute="4" context="2" String="^(\+|&gt;|!)" />
+ <RegExpr attribute="3" context="3" String="^(\-|&lt;)" />
+ <RegExpr attribute="2" context="4" String="^\@\@" />
+ </context>
+
+ <context attribute="1" lineEndContext="#pop" />
+ <context attribute="4" lineEndContext="#pop" />
+ <context attribute="3" lineEndContext="#pop" />
+ <context attribute="2" lineEndContext="#pop" />
+
+ </contexts>
+
+ <itemDatas>
+ <itemData name="Normal Text" defStyleNum="dsNormal"/>
+ <itemData name="Keyword" defStyleNum="dsKeyword"/>
+ <itemData name="Data Type" defStyleNum="dsDataType"/>
+ <itemData name="Removed line" defStyleNum="dsOthers"/>
+ <itemData name="Added line" defStyleNum="dsString"/>
+ <itemData name="Comment" defStyleNum="dsComment"/>
+ </itemDatas>
+ </highlighting>
+ <general>
+ <keywords casesensitive="1" />
+ </general>
+</language>
diff --git a/share/tinykate/syntax/eiffel.xml b/share/tinykate/syntax/eiffel.xml
new file mode 100644
index 0000000..548d779
--- a/dev/null
+++ b/share/tinykate/syntax/eiffel.xml
@@ -0,0 +1,76 @@
+<?xml version="1.0" encoding="UTF-8"?>
+<!DOCTYPE language SYSTEM "language.dtd">
+<language name="Eiffel" version="1.00" kateversion="2.0" section="Sources" extensions="*.e" mimetype="text/x-eiffel-src">
+ <highlighting>
+ <list name="keywords">
+ <item> indexing </item>
+ <item> class </item>
+ <item> inherit </item>
+ <item> creation </item>
+ <item> feature </item>
+ <item> rename </item>
+ <item> redefine </item>
+ <item> undefine </item>
+ <item> select </item>
+ <item> export </item>
+ <item> local </item>
+ <item> deferred </item>
+ <item> do </item>
+ <item> is </item>
+ <item> once </item>
+ <item> alias </item>
+ <item> external </item>
+ <item> rescue </item>
+ <item> debug </item>
+ <item> if </item>
+ <item> inspect </item>
+ <item> from </item>
+ <item> else </item>
+ <item> elseif </item>
+ <item> when </item>
+ <item> until </item>
+ <item> loop </item>
+ <item> then </item>
+ <item> obsolete </item>
+ <item> end </item>
+ </list>
+ <list name="assertions">
+ <item> check </item>
+ <item> ensure </item>
+ <item> require </item>
+ <item> variant </item>
+ <item> invariant </item>
+ </list>
+ <contexts>
+ <context attribute="0" lineEndContext="#stay">
+ <keyword attribute="1" context="#stay" String="keywords"/>
+ <Float attribute="3" context="#stay"/>
+ <Int attribute="2" context="#stay"/>
+ <RegExpr attribute="4" context="#stay" String="'.'"/>
+ <DetectChar attribute="5" context="1" char="&quot;"/>
+ <Detect2Chars attribute="6" context="2" char="-" char1="-"/>
+ <keyword attribute="7" context="#stay" String="assertions"/>
+ </context>
+ <context attribute="5" lineEndContext="#pop">
+ <DetectChar attribute="5" context="#pop" char="&quot;"/>
+ </context>
+ <context attribute="6" lineEndContext="#pop"/>
+ </contexts>
+ <itemDatas>
+ <itemData name="Normal Text" defStyleNum="dsNormal" />
+ <itemData name="Keyword" defStyleNum="dsKeyword" />
+ <itemData name="Decimal" defStyleNum="dsDecVal" />
+ <itemData name="Float" defStyleNum="dsFloat" />
+ <itemData name="Char" defStyleNum="dsChar" />
+ <itemData name="String" defStyleNum="dsString" />
+ <itemData name="Comment" defStyleNum="dsComment" />
+ <itemData name="Assertions" defStyleNum="dsOthers" />
+ </itemDatas>
+ </highlighting>
+ <general>
+ <comments>
+ <comment name="singleLine" start="--" />
+ </comments>
+ <keywords casesensitive="1" />
+ </general>
+</language>
diff --git a/share/tinykate/syntax/ferite.xml b/share/tinykate/syntax/ferite.xml
new file mode 100644
index 0000000..e1aea51
--- a/dev/null
+++ b/share/tinykate/syntax/ferite.xml
@@ -0,0 +1,116 @@
+<?xml version="1.0" encoding="UTF-8"?>
+<!DOCTYPE language SYSTEM "language.dtd">
+<language name="ferite" version="1.00" kateversion="2.0" section="Scripts" extensions="*.fe;*.feh" mimetype="text/x-ferite-src">
+ <highlighting>
+ <list name="keywords">
+ <item> break </item>
+ <item> case </item>
+ <item> continue </item>
+ <item> else </item>
+ <item> for </item>
+ <item> if </item>
+ <item> do </item>
+ <item> function </item>
+ <item> namespace </item>
+ <item> while </item>
+ <item> class </item>
+ <item> new </item>
+ <item> uses </item>
+ <item> global </item>
+ <item> return </item>
+ <item> self </item>
+ <item> super </item>
+ <item> null </item>
+ <item> iferr </item>
+ <item> fix </item>
+ </list>
+ <list name="types">
+ <item> number </item>
+ <item> void </item>
+ <item> string </item>
+ <item> array </item>
+ <item> object </item>
+ <item> final </item>
+ <item> static </item>
+ </list>
+ <contexts>
+ <context attribute="0" lineEndContext="#stay">
+ <keyword attribute="1" context="#stay" String="keywords"/>
+ <keyword attribute="2" context="#stay" String="types"/>
+ <Float attribute="6" context="#stay">
+ <AnyChar String="fF" attribute="6" context="#stay"/>
+ </Float>
+ <HlCOct attribute="4" context="#stay"/>
+ <HlCHex attribute="5" context="#stay"/>
+ <Int attribute="3" context="#stay" >
+ <StringDetect attribute="3" context="#stay" String="ULL" insensitive="TRUE"/>
+ <StringDetect attribute="3" context="#stay" String="LUL" insensitive="TRUE"/>
+ <StringDetect attribute="3" context="#stay" String="LLU" insensitive="TRUE"/>
+ <StringDetect attribute="3" context="#stay" String="UL" insensitive="TRUE"/>
+ <StringDetect attribute="3" context="#stay" String="LU" insensitive="TRUE"/>
+ <StringDetect attribute="3" context="#stay" String="LL" insensitive="TRUE"/>
+ <StringDetect attribute="3" context="#stay" String="U" insensitive="TRUE"/>
+ <StringDetect attribute="3" context="#stay" String="L" insensitive="TRUE"/>
+ </Int>
+ <HlCChar attribute="7" context="#stay"/>
+ <DetectChar attribute="8" context="1" char="&quot;"/>
+ <Detect2Chars attribute="10" context="2" char="/" char1="/"/>
+ <Detect2Chars attribute="10" context="3" char="/" char1="*"/>
+ <AnyChar attribute="11" context="#stay" String="!%&amp;()+,-&lt;=&gt;?[]^{|}~"/>
+ <StringDetect attribute="10" context="8" String="#if 0" insensitive="FALSE"/>
+ <RegExpr attribute="12" context="4" String="^#"/>
+ </context>
+ <context attribute="8" lineEndContext="#pop">
+ <LineContinue attribute="8" context="#stay"/>
+ <HlCStringChar attribute="9" context="#stay"/>
+ <DetectChar attribute="8" context="#pop" char="&quot;"/>
+ </context>
+ <context attribute="10" lineEndContext="#pop">
+ <RegExpr attribute="3" context="#stay" String="(FIXME|TODO)" />
+ </context>
+ <context attribute="10" lineEndContext="#stay">
+ <Detect2Chars attribute="10" context="#pop" char="*" char1="/"/>
+ <RegExpr attribute="3" context="#stay" String="(FIXME|TODO)" />
+ </context>
+ <context attribute="12" lineEndContext="#pop">
+ <LineContinue attribute="12" context="#stay"/>
+ <RangeDetect attribute="13" context="#stay" char="&quot;" char1="&quot;"/>
+ <RangeDetect attribute="13" context="#stay" char="&lt;" char1="&gt;"/>
+ <Detect2Chars attribute="10" context="2" char="/" char1="/"/>
+ <Detect2Chars attribute="10" context="5" char="/" char1="*"/>
+ </context>
+ <context attribute="10" lineEndContext="#stay">
+ <Detect2Chars attribute="10" context="#pop" char="*" char1="/" />
+ </context>
+ <context attribute="0" lineEndContext="#pop" />
+ <context attribute="0" lineEndContext="#pop" />
+ <context attribute="10" lineEndContext="#stay">
+ <RegExpr attribute="3" context="#stay" String="(FIXME|TODO)" />
+ <RegExpr attribute="10" context="#pop" String="^#endif" />
+ </context>
+ </contexts>
+ <itemDatas>
+ <itemData name="Normal Text" defStyleNum="dsNormal"/>
+ <itemData name="Keyword" defStyleNum="dsKeyword"/>
+ <itemData name="Data Type" defStyleNum="dsDataType"/>
+ <itemData name="Decimal" defStyleNum="dsDecVal"/>
+ <itemData name="Octal" defStyleNum="dsBaseN"/>
+ <itemData name="Hex" defStyleNum="dsBaseN"/>
+ <itemData name="Float" defStyleNum="dsFloat"/>
+ <itemData name="Char" defStyleNum="dsChar"/>
+ <itemData name="String" defStyleNum="dsString"/>
+ <itemData name="String Char" defStyleNum="dsChar"/>
+ <itemData name="Comment" defStyleNum="dsComment"/>
+ <itemData name="Symbol" defStyleNum="dsNormal"/>
+ <itemData name="Preprocessor" defStyleNum="dsOthers"/>
+ <itemData name="Prep. Lib" defStyleNum="dsOthers"/>
+ </itemDatas>
+ </highlighting>
+ <general>
+ <comments>
+ <comment name="singleLine" start="//" />
+ <comment name="multiLine" start="/*" end="*/" />
+ </comments>
+ <keywords casesensitive="1" />
+ </general>
+</language>
diff --git a/share/tinykate/syntax/fortran.xml b/share/tinykate/syntax/fortran.xml
new file mode 100644
index 0000000..ff4639b
--- a/dev/null
+++ b/share/tinykate/syntax/fortran.xml
@@ -0,0 +1,99 @@
+<?xml version="1.0" encoding="UTF-8"?>
+<!DOCTYPE language SYSTEM "language.dtd">
+<language name="Fortran" section="Sources" extensions="*.f;*.F;*.x" mimetype="text/x-fortran-src">
+ <highlighting>
+ <list name="keywords">
+ <item> break </item>
+ <item> common </item>
+ <item> continue </item>
+ <item> date </item>
+ <item> default </item>
+ <item> dimension </item>
+ <item> do </item>
+ <item> else </item>
+ <item> enddo </item>
+ <item> endif </item>
+ <item> for </item>
+ <item> goto </item>
+ <item> go </item>
+ <item> to </item>
+ <item> if </item>
+ <item> then </item>
+ <item> return </item>
+ <item> end </item>
+ <item> format </item>
+ <item> write </item>
+ <item> read </item>
+ <item> subroutine </item>
+ <item> function </item>
+ <item> switch </item>
+ <item> program </item>
+ <item> call </item>
+ <item> while </item>
+ <item> &per;gt&per; </item>
+ <item> &per;lt&per; </item>
+ <item> &per;ge&per; </item>
+ <item> &per;le&per; </item>
+ <item> &per;eq&per; </item>
+ <item> &per;ne&per; </item>
+ </list>
+ <list name="types">
+ <item> character </item>
+ <item> complex </item>
+ <item> double </item>
+ <item> precision </item>
+ <item> real </item>
+ <item> real*8 </item>
+ <item> integer </item>
+ <item> common </item>
+ <item> logical </item>
+ <item> implicit </item>
+ <item> dimension </item>
+ <item> external </item>
+ <item> parameter </item>
+ </list>
+ <contexts>
+ <context attribute="Normal Text" lineEndContext="0">
+ <keyword attribute="Keyword" context="0" String="keywords"/>
+ <keyword attribute="Data Type" context="0" String="types"/>
+ <Float attribute="Float" context="0">
+ <AnyChar attribute="Float" context="0" String="fFdD"/>
+ </Float>
+ <HlCOct attribute="Octal" context="0"/>
+ <HlCHex attribute="Hex" context="0"/>
+ <Int attribute="Decimal" context="0" />
+ <HlCChar attribute="Char" context="0"/>
+ <DetectChar attribute="String" context="1" char="&quot;"/>
+ <RegExpr attribute = "Comment" context="0" String="^[cC].*$"/>
+ <RegExpr attribute = "Preprocessor" context="0" String="^[\*#].*$"/>
+ <AnyChar attribute="Symbol" context="0" String="!%&amp;()+,-&lt;=&gt;?[]^{|}~"/>
+ </context>
+ <context attribute="String" lineEndContext="0">
+ <HlCStringChar attribute="String Char" context="1"/>
+ <DetectChar attribute="String" context="0" char="&quot;"/>
+ </context>
+ </contexts>
+ <itemDatas>
+ <itemData name="Normal Text" defStyleNum="dsNormal"/>
+ <itemData name="Keyword" defStyleNum="dsKeyword"/>
+ <itemData name="Data Type" defStyleNum="dsDataType"/>
+ <itemData name="Decimal" defStyleNum="dsDecVal"/>
+ <itemData name="Octal" defStyleNum="dsBaseN"/>
+ <itemData name="Hex" defStyleNum="dsBaseN"/>
+ <itemData name="Float" defStyleNum="dsFloat"/>
+ <itemData name="Char" defStyleNum="dsChar"/>
+ <itemData name="String" defStyleNum="dsString"/>
+ <itemData name="String Char" defStyleNum="dsChar"/>
+ <itemData name="Comment" defStyleNum="dsComment"/>
+ <itemData name="Symbol" defStyleNum="dsNormal"/>
+ <itemData name="Preprocessor" defStyleNum="dsOthers"/>
+ <itemData name="Prep. Lib" defStyleNum="dsOthers"/>
+ </itemDatas>
+ </highlighting>
+ <general>
+ <keywords casesensitive="0" />
+ <comments>
+ <comment name="singleLine" start="c" />
+ </comments>
+ </general>
+</language>
diff --git a/share/tinykate/syntax/gnuassembler.xml b/share/tinykate/syntax/gnuassembler.xml
new file mode 100644
index 0000000..b874383
--- a/dev/null
+++ b/share/tinykate/syntax/gnuassembler.xml
@@ -0,0 +1,286 @@
+<?xml version="1.0" encoding="UTF-8"?>
+<!DOCTYPE language SYSTEM "language.dtd">
+<!--
+
+*************************************************************************
+* *
+* Syntax highlighting for the GNU Assembler *
+* Copyright (C) 2002, John Zaitseff *
+* *
+*************************************************************************
+
+Author: John Zaitseff <J.Zaitseff@zap.org.au>
+Date: 15th April, 2002
+Version: 1.0
+
+This file contains the XML syntax highlighting description for the GNU
+Assembler, for KATE, the KDE Advanced Editor. Keywords have been taken
+directly from the GNU Assembler source code (read.c).
+
+Known problems: Floating point highlighting does not work correctly.
+
+This program, including associated files, is free software. You may
+distribute it and/or modify it under the terms of the GNU General Public
+License as published by the Free Software Foundation; either Version 2 of
+the license, or (at your option) any later version.
+
+This program is distributed in the hope that it will be useful, but
+WITHOUT ANY WARRANTY; without even the implied warranty of MERCHANTABILITY
+or FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+for more details.
+
+You should have received a copy of the GNU General Public License along
+with this program; if not, write to the Free Software Foundation, Inc.,
+59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-->
+
+<language name="GNU Assembler" section="Sources"
+ extensions="*.s;*.S;*.inc" mimetype="text/x-asm">
+ <highlighting>
+ <list name="keywords">
+ <item>.abort</item>
+ <item>.align</item>
+ <item>.appfile</item>
+ <item>.appline</item>
+ <item>.ascii</item>
+ <item>.asciz</item>
+ <item>.balign</item>
+ <item>.balignl</item>
+ <item>.balignw</item>
+ <item>.byte</item>
+ <item>.comm</item>
+ <item>.common.s</item>
+ <item>.common</item>
+ <item>.data</item>
+ <item>.dc.b</item>
+ <item>.dc.d</item>
+ <item>.dc.l</item>
+ <item>.dc.s</item>
+ <item>.dc.w</item>
+ <item>.dc.x</item>
+ <item>.dc</item>
+ <item>.dcb.b</item>
+ <item>.dcb.d</item>
+ <item>.dcb.l</item>
+ <item>.dcb.s</item>
+ <item>.dcb.w</item>
+ <item>.dcb.x</item>
+ <item>.dcb</item>
+ <item>.debug</item>
+ <item>.def</item>
+ <item>.desc</item>
+ <item>.dim</item>
+ <item>.double</item>
+ <item>.ds.b</item>
+ <item>.ds.d</item>
+ <item>.ds.l</item>
+ <item>.ds.p</item>
+ <item>.ds.s</item>
+ <item>.ds.w</item>
+ <item>.ds.x</item>
+ <item>.ds</item>
+ <item>.dsect</item>
+ <item>.eject</item>
+ <item>.else</item>
+ <item>.elsec</item>
+ <item>.elseif</item>
+ <item>.end</item>
+ <item>.endc</item>
+ <item>.endef</item>
+ <item>.endfunc</item>
+ <item>.endif</item>
+ <item>.endm</item>
+ <item>.endr</item>
+ <item>.equ</item>
+ <item>.equiv</item>
+ <item>.err</item>
+ <item>.exitm</item>
+ <item>.extend</item>
+ <item>.extern</item>
+ <item>.fail</item>
+ <item>.file</item>
+ <item>.fill</item>
+ <item>.float</item>
+ <item>.format</item>
+ <item>.func</item>
+ <item>.global</item>
+ <item>.globl</item>
+ <item>.hidden</item>
+ <item>.hword</item>
+ <item>.ident</item>
+ <item>.if</item>
+ <item>.ifc</item>
+ <item>.ifdef</item>
+ <item>.ifeq</item>
+ <item>.ifeqs</item>
+ <item>.ifge</item>
+ <item>.ifgt</item>
+ <item>.ifle</item>
+ <item>.iflt</item>
+ <item>.ifnc</item>
+ <item>.ifndef</item>
+ <item>.ifne</item>
+ <item>.ifnes</item>
+ <item>.ifnotdef</item>
+ <item>.include</item>
+ <item>.int</item>
+ <item>.internal</item>
+ <item>.irep</item>
+ <item>.irepc</item>
+ <item>.irp</item>
+ <item>.irpc</item>
+ <item>.lcomm</item>
+ <item>.lflags</item>
+ <item>.line</item>
+ <item>.linkonce</item>
+ <item>.list</item>
+ <item>.llen</item>
+ <item>.ln</item>
+ <item>.long</item>
+ <item>.lsym</item>
+ <item>.macro</item>
+ <item>.mexit</item>
+ <item>.name</item>
+ <item>.noformat</item>
+ <item>.nolist</item>
+ <item>.nopage</item>
+ <item>.octa</item>
+ <item>.offset</item>
+ <item>.org</item>
+ <item>.p2align</item>
+ <item>.p2alignl</item>
+ <item>.p2alignw</item>
+ <item>.page</item>
+ <item>.plen</item>
+ <item>.popsection</item>
+ <item>.previous</item>
+ <item>.print</item>
+ <item>.protected</item>
+ <item>.psize</item>
+ <item>.purgem</item>
+ <item>.pushsection</item>
+ <item>.quad</item>
+ <item>.rep</item>
+ <item>.rept</item>
+ <item>.rva</item>
+ <item>.sbttl</item>
+ <item>.scl</item>
+ <item>.sect.s</item>
+ <item>.sect</item>
+ <item>.section.s</item>
+ <item>.section</item>
+ <item>.set</item>
+ <item>.short</item>
+ <item>.single</item>
+ <item>.size</item>
+ <item>.skip</item>
+ <item>.sleb128</item>
+ <item>.space</item>
+ <item>.spc</item>
+ <item>.stabd</item>
+ <item>.stabn</item>
+ <item>.stabs</item>
+ <item>.string</item>
+ <item>.struct</item>
+ <item>.subsection</item>
+ <item>.symver</item>
+ <item>.tag</item>
+ <item>.text</item>
+ <item>.title</item>
+ <item>.ttl</item>
+ <item>.type</item>
+ <item>.uleb128</item>
+ <item>.use</item>
+ <item>.val</item>
+ <item>.version</item>
+ <item>.vtable_entry</item>
+ <item>.vtable_inherit</item>
+ <item>.weak</item>
+ <item>.word</item>
+ <item>.xcom</item>
+ <item>.xdef</item>
+ <item>.xref</item>
+ <item>.xstabs</item>
+ <item>.zero</item>
+ <!-- Directives specific to ARM -->
+ <item>.arm</item>
+ <item>.bss</item>
+ <item>.code</item>
+ <item>.even</item>
+ <item>.force_thumb</item>
+ <item>.ldouble</item>
+ <item>.loc</item>
+ <item>.ltorg</item>
+ <item>.packed</item>
+ <item>.pool</item>
+ <item>.req</item>
+ <item>.thumb</item>
+ <item>.thumb_func</item>
+ <item>.thumb_set</item>
+ </list>
+
+ <contexts>
+ <context attribute="0" lineEndContext="0">
+ <RegExpr attribute="1" context="0"
+ String="^\s*[A-Za-z0-9_.$]+:" />
+ <keyword attribute="2" context="0" String="keywords"
+ weakDelimiter="_.$" />
+ <HlCOct attribute="4" context="0" />
+ <HlCHex attribute="5" context="0" />
+ <RegExpr attribute="6" context="0" String="0[bB][01]+" />
+ <Int attribute="3" context="0" />
+ <RegExpr attribute="7" context="0"
+ String="0[fFeEdD][-+]?[0-9]*\.?[0-9]*[eE]?[-+]?[0-9]+" />
+ <RegExpr attribute="0" context="0"
+ String="[A-Za-z_.$][A-Za-z0-9_.$]*" />
+ <RegExpr attribute="8" context="0"
+ String="'(\\x[0-9a-fA-F][0-9a-fA-F]?|\\[0-7]?[0-7]?[0-7]?|\\.|.)" />
+ <DetectChar attribute="9" context="4" char="&quot;" />
+ <Detect2Chars attribute="12" context="1" char="/" char1="*" />
+ <DetectChar attribute="12" context="2" char="@" />
+ <DetectChar attribute="12" context="2" char=";" />
+ <AnyChar attribute="11" context="0"
+ String="!#%&amp;*()+,-&lt;=&gt;?/:[]^{|}~" />
+ <RegExpr attribute="13" context="3" String="^#" />
+ </context>
+ <context attribute="12" lineEndContext="1">
+ <Detect2Chars attribute="12" context="0" char="*" char1="/" />
+ </context>
+ <context attribute="12" lineEndContext="0" />
+ <context attribute="13" lineEndContext="0" />
+ <context attribute="9" lineEndContext="0">
+ <LineContinue attribute="9" context="5" />
+ <HlCStringChar attribute="10" context="4" />
+ <DetectChar attribute="9" context="0" char="&quot;" />
+ </context>
+ <context attribute="0" lineEndContext="4" />
+ </contexts>
+
+ <itemDatas>
+ <itemData name="Normal Text" defStyleNum="dsNormal" />
+ <itemData name="Label" defStyleNum="dsNormal" />
+ <itemData name="Keyword" defStyleNum="dsKeyword" />
+ <itemData name="Decimal" defStyleNum="dsDecVal" />
+ <itemData name="Octal" defStyleNum="dsBaseN" />
+ <itemData name="Hex" defStyleNum="dsBaseN" />
+ <itemData name="Binary" defStyleNum="dsBaseN" />
+ <itemData name="Float" defStyleNum="dsFloat" />
+ <itemData name="Char" defStyleNum="dsChar" />
+ <itemData name="String" defStyleNum="dsString" />
+ <itemData name="String Char" defStyleNum="dsChar" />
+ <itemData name="Symbol" defStyleNum="dsNormal" />
+ <itemData name="Comment" defStyleNum="dsComment" />
+ <itemData name="Preprocessor" defStyleNum="dsOthers" />
+ </itemDatas>
+ </highlighting>
+
+ <general>
+ <comments>
+ <comment name="singleLine" start=";" />
+ <comment name="singleLine" start="@" />
+ <comment name="multiLine" start="/*" end="*/" />
+ </comments>
+ <keywords casesensitive="1" weakDelimiter="_.$" />
+ </general>
+</language>
diff --git a/share/tinykate/syntax/haskell.xml b/share/tinykate/syntax/haskell.xml
new file mode 100644
index 0000000..a5bd955
--- a/dev/null
+++ b/share/tinykate/syntax/haskell.xml
@@ -0,0 +1,387 @@
+<?xml version="1.0" encoding="UTF-8"?>
+<!DOCTYPE language SYSTEM "language.dtd">
+<!-- Haskell syntax highlighting by Marcel Martin <mmar@freenet.de> -->
+<language name="Haskell" version="1.00" kateversion="2.0" section="Sources" extensions="*.hs">
+ <highlighting>
+ <list name="keywords">
+ <item> case </item>
+ <item> class </item>
+ <item> data </item>
+ <item> deriving </item>
+ <item> do </item>
+ <item> else </item>
+ <item> if </item>
+ <item> in </item>
+ <item> infixl </item>
+ <item> infixr </item>
+ <item> instance </item>
+ <item> let </item>
+ <item> module </item>
+ <item> of </item>
+ <item> primitive </item>
+ <item> then </item>
+ <item> type </item>
+ <item> where </item>
+ </list>
+ <list name="infix operators">
+ <item> quot </item>
+ <item> rem </item>
+ <item> div </item>
+ <item> mod </item>
+ <item> elem </item>
+ <item> notElem </item>
+ <item> seq </item>
+ </list>
+ <list name="functions">
+ <!--
+ These operators are not handled yet.
+ <item> !! </item>
+ <item> % </item>
+ <item> && </item>
+ <item> $! </item>
+ <item> $ </item>
+ <item> * </item>
+ <item> ** </item>
+ <item> - </item>
+ <item> . </item>
+ <item> /= </item>
+ <item> < </item>
+ <item> <= </item>
+ <item> =<< </item>
+ <item> == </item>
+ <item> > </item>
+ <item> >= </item>
+ <item> >> </item>
+ <item> >>= </item>
+ <item> ^ </item>
+ <item> ^^ </item>
+ <item> ++ </item>
+ <item> || </item>
+ //-->
+
+ <item> FilePath </item>
+ <item> IOError </item>
+ <item> abs </item>
+ <item> acos </item>
+ <item> acosh </item>
+ <item> all </item>
+ <item> and </item>
+ <item> any </item>
+ <item> appendFile </item>
+ <item> approxRational </item>
+ <item> asTypeOf </item>
+ <item> asin </item>
+ <item> asinh </item>
+ <item> atan </item>
+ <item> atan2 </item>
+ <item> atanh </item>
+ <item> basicIORun </item>
+ <item> break </item>
+ <item> catch </item>
+ <item> ceiling </item>
+ <item> chr </item>
+ <item> compare </item>
+ <item> concat </item>
+ <item> concatMap </item>
+ <item> const </item>
+ <item> cos </item>
+ <item> cosh </item>
+ <item> curry </item>
+ <item> cycle </item>
+ <item> decodeFloat </item>
+ <item> denominator </item>
+ <item> digitToInt </item>
+ <item> div </item>
+ <item> divMod </item>
+ <item> drop </item>
+ <item> dropWhile </item>
+ <item> either </item>
+ <item> elem </item>
+ <item> encodeFloat </item>
+ <item> enumFrom </item>
+ <item> enumFromThen </item>
+ <item> enumFromThenTo </item>
+ <item> enumFromTo </item>
+ <item> error </item>
+ <item> even </item>
+ <item> exp </item>
+ <item> exponent </item>
+ <item> fail </item>
+ <item> filter </item>
+ <item> flip </item>
+ <item> floatDigits </item>
+ <item> floatRadix </item>
+ <item> floatRange </item>
+ <item> floor </item>
+ <item> fmap </item>
+ <item> foldl </item>
+ <item> foldl1 </item>
+ <item> foldr </item>
+ <item> foldr1 </item>
+ <item> fromDouble </item>
+ <item> fromEnum </item>
+ <item> fromInt </item>
+ <item> fromInteger </item>
+ <item> fromIntegral </item>
+ <item> fromRational </item>
+ <item> fst </item>
+ <item> gcd </item>
+ <item> getChar </item>
+ <item> getContents </item>
+ <item> getLine </item>
+ <item> head </item>
+ <item> id </item>
+ <item> inRange </item>
+ <item> index </item>
+ <item> init </item>
+ <item> intToDigit </item>
+ <item> interact </item>
+ <item> ioError </item>
+ <item> isAlpha </item>
+ <item> isAlphaNum </item>
+ <item> isAscii </item>
+ <item> isControl </item>
+ <item> isDenormalized </item>
+ <item> isDigit </item>
+ <item> isHexDigit </item>
+ <item> isIEEE </item>
+ <item> isInfinite </item>
+ <item> isLower </item>
+ <item> isNaN </item>
+ <item> isNegativeZero </item>
+ <item> isOctDigit </item>
+ <item> isPrint </item>
+ <item> isSpace </item>
+ <item> isUpper </item>
+ <item> iterate </item>
+ <item> last </item>
+ <item> lcm </item>
+ <item> length </item>
+ <item> lex </item>
+ <item> lexDigits </item>
+ <item> lexLitChar </item>
+ <item> lines </item>
+ <item> log </item>
+ <item> logBase </item>
+ <item> lookup </item>
+ <item> map </item>
+ <item> mapM </item>
+ <item> mapM_ </item>
+ <item> max </item>
+ <item> maxBound </item>
+ <item> maximum </item>
+ <item> maybe </item>
+ <item> min </item>
+ <item> minBound </item>
+ <item> minimum </item>
+ <item> mod </item>
+ <item> negate </item>
+ <item> not </item>
+ <item> notElem </item>
+ <item> null </item>
+ <item> numerator </item>
+ <item> odd </item>
+ <item> or </item>
+ <item> ord </item>
+ <item> otherwise </item>
+ <item> pi </item>
+ <item> pred </item>
+ <item> primExitWith </item>
+ <item> print </item>
+ <item> product </item>
+ <item> properFraction </item>
+ <item> putChar </item>
+ <item> putStr </item>
+ <item> putStrLn </item>
+ <item> quot </item>
+ <item> quotRem </item>
+ <item> range </item>
+ <item> rangeSize </item>
+ <item> read </item>
+ <item> readDec </item>
+ <item> readFile </item>
+ <item> readFloat </item>
+ <item> readHex </item>
+ <item> readIO </item>
+ <item> readInt </item>
+ <item> readList </item>
+ <item> readLitChar </item>
+ <item> readLn </item>
+ <item> readOct </item>
+ <item> readParen </item>
+ <item> readSigned </item>
+ <item> reads </item>
+ <item> readsPrec </item>
+ <item> realToFrac </item>
+ <item> recip </item>
+ <item> rem </item>
+ <item> repeat </item>
+ <item> replicate </item>
+ <item> return </item>
+ <item> reverse </item>
+ <item> round </item>
+ <item> scaleFloat </item>
+ <item> scanl </item>
+ <item> scanl1 </item>
+ <item> scanr </item>
+ <item> scanr1 </item>
+ <item> seq </item>
+ <item> sequence </item>
+ <item> sequence_ </item>
+ <item> show </item>
+ <item> showChar </item>
+ <item> showInt </item>
+ <item> showList </item>
+ <item> showLitChar </item>
+ <item> showParen </item>
+ <item> showSigned </item>
+ <item> showString </item>
+ <item> shows </item>
+ <item> showsPrec </item>
+ <item> significand </item>
+ <item> signum </item>
+ <item> sin </item>
+ <item> sinh </item>
+ <item> snd </item>
+ <item> span </item>
+ <item> splitAt </item>
+ <item> sqrt </item>
+ <item> subtract </item>
+ <item> succ </item>
+ <item> sum </item>
+ <item> tail </item>
+ <item> take </item>
+ <item> takeWhile </item>
+ <item> tan </item>
+ <item> tanh </item>
+ <item> threadToIOResult </item>
+ <item> toEnum </item>
+ <item> toInt </item>
+ <item> toInteger </item>
+ <item> toLower </item>
+ <item> toRational </item>
+ <item> toUpper </item>
+ <item> truncate </item>
+ <item> uncurry </item>
+ <item> undefined </item>
+ <item> unlines </item>
+ <item> until </item>
+ <item> unwords </item>
+ <item> unzip </item>
+ <item> unzip3 </item>
+ <item> userError </item>
+ <item> words </item>
+ <item> writeFile </item>
+ <item> zip </item>
+ <item> zip3 </item>
+ <item> zipWith </item>
+ <item> zipWith3 </item>
+ </list>
+ <list name="type constructors">
+ <item> Bool </item>
+ <item> Char </item>
+ <item> Double </item>
+ <item> Either </item>
+ <item> Float </item>
+ <item> IO </item>
+ <item> Integer </item>
+ <item> Int </item>
+ <item> Maybe </item>
+ <item> Ordering </item>
+ <item> Rational </item>
+ <item> Ratio </item>
+ <item> ReadS </item>
+ <item> ShowS </item>
+ <item> String </item>
+
+ </list>
+ <list name="classes">
+ <item> Bounded </item>
+ <item> Enum </item>
+ <item> Eq </item>
+ <item> Floating </item>
+ <item> Fractional </item>
+ <item> Functor </item>
+ <item> Integral </item>
+ <item> Ix </item>
+ <item> Monad </item>
+ <item> Num </item>
+ <item> Ord </item>
+ <item> Read </item>
+ <item> RealFloat </item>
+ <item> RealFrac </item>
+ <item> Real </item>
+ <item> Show </item>
+ </list>
+ <list name="data constructors">
+ <item> EQ </item>
+ <item> False </item>
+ <item> GT </item>
+ <item> Just </item>
+ <item> LT </item>
+ <item> Left </item>
+ <item> Nothing </item>
+ <item> Right </item>
+ <item> True </item>
+ </list>
+ <contexts>
+ <context attribute="Normal Text" lineEndContext="#stay" name="normal">
+ <Detect2Chars attribute="Comment" context="comment_multi_line" char="{" char1="-" />
+ <Detect2Chars attribute="Comment" context="comment_single_line" char="-" char1="-" />
+ <keyword attribute="Keyword" context="#stay" String="keywords" />
+ <keyword attribute="Class" context="#stay" String="classes" />
+ <keyword attribute="Type Constructor" context="#stay" String="type constructors" />
+ <keyword attribute="Function" context="#stay" String="functions" />
+ <keyword attribute="Data Constructor" context="#stay" String="data constructors" />
+ <DetectChar attribute="String" context="string" char="&quot;" />
+ <DetectChar attribute="Infix Operator" context="infix" char="`"/>
+ <RegExpr attribute="Normal Text" context="#stay" String="[A-Za-z0-9_][']+" />
+ <DetectChar attribute="Char" context="single_char" char="'" />
+ <RegExpr attribute="Function Definition" context="#stay" String="[a-z_]+[A-Za-z_0-9]*'*\s*::" />
+ <Float attribute="Float" context="#stay" />
+ <Int attribute="Decimal" context="#stay" />
+ </context>
+ <context attribute="Comment" lineEndContext="#pop" name="comment_single_line" />
+ <context attribute="Comment" lineEndContext="#stay" name="comment_multi_line">
+ <Detect2Chars attribute="Comment" context="#pop" char="-" char1="}" />
+ </context>
+ <context attribute="String" lineEndContext="#stay" name="string">
+ <RegExpr attribute="String" context="#stay" String="\\." />
+ <DetectChar attribute="String" context="#pop" char="&quot;" />
+ </context>
+ <context attribute="Infix Operator" lineEndContext="#stay" name="infix">
+ <DetectChar attribute="Infix Operator" context="#pop" char="`"/>
+ </context>
+ <context attribute="Char" lineEndContext="#pop" name="single_char">
+ <RegExpr attribute="Char" context="#stay" String="\\." />
+ <DetectChar attribute="Char" context="#pop" char="'" />
+ </context>
+ <context attribute="Function Definition" lineEndContext="#pop" name="function_definition">
+ <DetectChar attribute="Function Definition" context="#pop" char=";" />
+ </context>
+ </contexts>
+ <itemDatas>
+ <itemData name="Normal Text" defStyleNum="dsNormal"/>
+ <itemData name="Keyword" defStyleNum="dsKeyword"/>
+ <itemData name="Function" defStyleNum="dsOthers"/>
+ <itemData name="Function Definition" defStyleNum="dsOthers"/>
+ <itemData name="Class" defStyleNum="dsKeyword"/>
+ <itemData name="Decimal" defStyleNum="dsDecVal"/>
+ <itemData name="Float" defStyleNum="dsFloat"/>
+ <itemData name="Char" defStyleNum="dsChar"/>
+ <itemData name="String" defStyleNum="dsString"/>
+ <itemData name="Constructor" defStyleNum="dsOthers"/>
+ <itemData name="Comment" defStyleNum="dsComment"/>
+ <itemData name="Data Constructor" defStyleNum="dsKeyword"/>
+ <itemData name="Type Constructor" defStyleNum="dsDataType"/>
+ <itemData name="Infix Operator" defStyleNum="dsOthers"/>
+ </itemDatas>
+ </highlighting>
+ <general>
+ <comments>
+ <comment name="singleLine" start="--" />
+ <comment name="multiLine" start="{-" end="-}" />
+ </comments>
+ <keywords casesensitive="1" />
+ </general>
+</language>
diff --git a/share/tinykate/syntax/html.xml b/share/tinykate/syntax/html.xml
new file mode 100644
index 0000000..f4ce3f0
--- a/dev/null
+++ b/share/tinykate/syntax/html.xml
@@ -0,0 +1,50 @@
+<?xml version="1.0" encoding="UTF-8"?>
+<!DOCTYPE language SYSTEM "language.dtd">
+<language name="HTML" version="1.00" kateversion="2.0" section="Markup" extensions="*.html;*.htm;*.shtml;*.shtm" mimetype="text/html">
+ <highlighting>
+ <contexts>
+ <context attribute="0" lineEndContext="#stay">
+ <StringDetect attribute="Comment" context="6" String="&lt;!--"/>
+ <RegExpr attribute="1" context="1" String="&lt;\s*\/?\s*[a-zA-Z0-9_]*" />
+ </context>
+
+ <context attribute="2" lineEndContext="#stay">
+ <DetectChar attribute="1" context="#pop" char="&gt;"/>
+ <RegExpr attribute="0" context="2" String="\s*=\s*"/>
+ </context>
+
+ <context attribute="8" lineEndContext="#stay">
+ <RegExpr attribute="3" context="#pop" String="\s*#?[a-zA-Z0-9]*" />
+ <DetectChar attribute="3" context="3" char="&quot;" />
+ </context>
+
+ <context attribute="3" lineEndContext="#stay">
+ <DetectChar attribute="3" context="#pop#pop" char="&quot;" />
+ </context>
+
+ <context attribute="5" lineEndContext="#pop"/>
+ <context attribute="4" lineEndContext="#pop">
+ <DetectChar attribute="4" context="#pop" char="'"/>
+ </context>
+
+ <context attribute="Comment" lineEndContext="#stay">
+ <String---Detect attribute="Comment" context="6" String="&lt;!--"/>
+ <StringDetect attribute="Comment" context="#pop" String="--&gt;"/>
+ </context>
+ </contexts>
+ <itemDatas>
+ <itemData name="Normal Text" defStyleNum="dsNormal"/>
+ <itemData name="Keyword" defStyleNum="dsKeyword"/>
+ <itemData name="Identifier" defStyleNum="dsOthers"/>
+ <itemData name="Types" defStyleNum="dsDataType"/>
+ <itemData name="String" defStyleNum="dsString"/>
+ <itemData name="Comment" defStyleNum="dsComment"/>
+ </itemDatas>
+ </highlighting>
+ <general>
+ <comments>
+ <comment name="multiLine" start="&lt;!--" end="//--&gt;" />
+ </comments>
+ <keywords casesensitive="0" />
+ </general>
+</language>
diff --git a/share/tinykate/syntax/idconsole.xml b/share/tinykate/syntax/idconsole.xml
new file mode 100644
index 0000000..ecb9b95
--- a/dev/null
+++ b/share/tinykate/syntax/idconsole.xml
@@ -0,0 +1,2146 @@
+<?xml version="1.0" encoding="UTF-8"?>
+<!DOCTYPE language SYSTEM "language.dtd">
+<language name="Quake, Wolfenstein, Half-Life" version="0.1" kateversion="2.0" section="Games" extensions="*.cfg" mimetype="">
+
+<highlighting>
+<list name="Commands">
+<item> ForceCloseComman </item>
+<item> _config_com_baud </item>
+<item> _config_com_modem </item>
+<item> _vid_default_mode </item>
+<item> _vid_default_mode_win </item>
+<item> _vid_wait_override </item>
+<item> _windowed_mouse </item>
+<item> addip </item>
+<item> addressbook </item>
+<item> adjust_crosshair </item>
+<item> advancedupdate </item>
+<item> allow_download </item>
+<item> allow_download_maps </item>
+<item> allow_download_models </item>
+<item> allow_download_skins </item>
+<item> allow_download_sounds </item>
+<item> allskins </item>
+<item> appenddemo </item>
+<item> autosave </item>
+<item> ban </item>
+<item> banClient </item>
+<item> banUser </item>
+<item> banid </item>
+<item> baseskin </item>
+<item> begin </item>
+<item> bf </item>
+<item> bgetmod </item>
+<item> bindlist </item>
+<item> block_switch </item>
+<item> bottomcolor </item>
+<item> buyNow </item>
+<item> buyequip </item>
+<item> cache_endgather </item>
+<item> cache_flush </item>
+<item> cache_mapchange </item>
+<item> cache_print </item>
+<item> cache_profile </item>
+<item> cache_setindex </item>
+<item> cache_startgather </item>
+<item> cache_usedfile </item>
+<item> cancelselect </item>
+<item> cd </item>
+<item> centerview </item>
+<item> changeVectors </item>
+<item> changelevel </item>
+<item> changelevel2 </item>
+<item> changing </item>
+<item> chase_active </item>
+<item> cinematic </item>
+<item> cl_deadbodyfilter </item>
+<item> cl_gibfilter </item>
+<item> cl_hightrack </item>
+<item> cl_hudswap </item>
+<item> cl_messages </item>
+<item> cl_nodelta </item>
+<item> cl_nolerp </item>
+<item> cl_nopred </item>
+<item> cl_predict_players </item>
+<item> cl_rate </item>
+<item> cl_sbar </item>
+<item> cl_sbar_separator </item>
+<item> cl_shownet </item>
+<item> cl_sidespeed </item>
+<item> cl_solid_players </item>
+<item> cl_warncmd </item>
+<item> cl_writecfg </item>
+<item> clear </item>
+<item> clearplayers </item>
+<item> clientinfo </item>
+<item> clientkick </item>
+<item> cmd </item>
+<item> cmdline </item>
+<item> cmdlist </item>
+<item> color </item>
+<item> commands </item>
+<item> condebug </item>
+<item> condump </item>
+<item> configstrings </item>
+<item> confirm_quit </item>
+<item> connect </item>
+<item> contimes </item>
+<item> coop </item>
+<item> crash </item>
+<item> credits </item>
+<item> cropimages </item>
+<item> crosshair </item>
+<item> cvar_restart </item>
+<item> cvarlist </item>
+<item> d_mipcap </item>
+<item> d_subdiv16 </item>
+<item> deathmatch </item>
+<item> delta_clear </item>
+<item> delta_stats </item>
+<item> demo </item>
+<item> demolist </item>
+<item> demomap </item>
+<item> demos </item>
+<item> developer </item>
+<item> devmap </item>
+<item> dir </item>
+<item> disconnect </item>
+<item> dlfile </item>
+<item> dmoptions </item>
+<item> download </item>
+<item> drawradar </item>
+<item> drop </item>
+<item> dropclient </item>
+<item> dumpuser </item>
+<item> edict </item>
+<item> edictcount </item>
+<item> edicts </item>
+<item> endmovie </item>
+<item> entities </item>
+<item> envmap </item>
+<item> error </item>
+<item> escape </item>
+<item> exec </item>
+<item> exit </item>
+<item> fastsprites </item>
+<item> fdir </item>
+<item> filterban </item>
+<item> firstperson </item>
+<item> floodprot </item>
+<item> floodprotmsg </item>
+<item> flush </item>
+<item> fly </item>
+<item> force_centerview </item>
+<item> fov </item>
+<item> fraglogfile </item>
+<item> freelook </item>
+<item> freeze </item>
+<item> front </item>
+<item> fs_openedList </item>
+<item> fs_referencedList </item>
+<item> fullinfo </item>
+<item> fullserverinfo </item>
+<item> game </item>
+<item> gameCompleteStatus </item>
+<item> gamedir </item>
+<item> gamemap </item>
+<item> gameversion </item>
+<item> getcertificate </item>
+<item> gfxinfo </item>
+<item> gg </item>
+<item> gib </item>
+<item> gibload </item>
+<item> gibstats </item>
+<item> give </item>
+<item> gl_affinemodels </item>
+<item> gl_clear </item>
+<item> gl_colorlights </item>
+<item> gl_constretch </item>
+<item> gl_cull </item>
+<item> gl_dlight_lightmap </item>
+<item> gl_dlight_polyblend </item>
+<item> gl_dlight_smooth </item>
+<item> gl_fb_bmodels </item>
+<item> gl_fb_models </item>
+<item> gl_finish </item>
+<item> gl_fires </item>
+<item> gl_flashblend </item>
+<item> gl_keeptjunctions </item>
+<item> gl_lerp_anim </item>
+<item> gl_lightmode </item>
+<item> gl_max_size </item>
+<item> gl_multitexture </item>
+<item> gl_nobind </item>
+<item> gl_nocolors </item>
+<item> gl_picmip </item>
+<item> gl_playermip </item>
+<item> gl_polyblend </item>
+<item> gl_reportjunctions </item>
+<item> gl_sky_clip </item>
+<item> gl_skymultipass </item>
+<item> gl_smoothmodels </item>
+<item> gl_texsort </item>
+<item> gl_texturemode </item>
+<item> gl_triplebuffer </item>
+<item> gl_ztrick </item>
+<item> globalservers </item>
+<item> god </item>
+<item> gun </item>
+<item> gun_model </item>
+<item> gun_next </item>
+<item> gun_prev </item>
+<item> gunsmoke </item>
+<item> heartbeat </item>
+<item> help </item>
+<item> hideconsole </item>
+<item> hideradar </item>
+<item> host_speeds </item>
+<item> hostname </item>
+<item> hpkextract </item>
+<item> hpklist </item>
+<item> hpkremove </item>
+<item> hpkval </item>
+<item> hud_centerid </item>
+<item> imagelist </item>
+<item> impulse </item>
+<item> imt </item>
+<item> in_bind </item>
+<item> in_paste_buffer </item>
+<item> in_restart </item>
+<item> in_unbind </item>
+<item> info </item>
+<item> interp </item>
+<item> invdrop </item>
+<item> inven </item>
+<item> invnext </item>
+<item> invnextp </item>
+<item> invnextw </item>
+<item> invprev </item>
+<item> invprevp </item>
+<item> invprevw </item>
+<item> invuse </item>
+<item> joinserver </item>
+<item> joy </item>
+<item> joy_advancedupdate </item>
+<item> joy_enable </item>
+<item> joyadvanced </item>
+<item> joyadvancedupdat </item>
+<item> joyadvancedupdate </item>
+<item> joyname </item>
+<item> joystick </item>
+<item> keys </item>
+<item> kick </item>
+<item> kill </item>
+<item> killserver </item>
+<item> lefthand </item>
+<item> link </item>
+<item> list </item>
+<item> listdemo </item>
+<item> listen </item>
+<item> listid </item>
+<item> listip </item>
+<item> listmaps </item>
+<item> load </item>
+<item> loadas8bit </item>
+<item> loadgame </item>
+<item> loading </item>
+<item> loadsky </item>
+<item> loadtranslations </item>
+<item> loc </item>
+<item> localinfo </item>
+<item> localservers </item>
+<item> log </item>
+<item> logaddress </item>
+<item> logfile </item>
+<item> lookspring </item>
+<item> lookstrafe </item>
+<item> m_filter </item>
+<item> main </item>
+<item> map </item>
+<item> map_restart </item>
+<item> maplist </item>
+<item> maps </item>
+<item> maxplayers </item>
+<item> max_smokepuffs </item>
+<item> max_shells </item>
+<item> mcache </item>
+<item> meminfo </item>
+<item> menu </item>
+<item> menu_addressbook </item>
+<item> menu_credits </item>
+<item> menu_help </item>
+<item> menu_keys </item>
+<item> menu_load </item>
+<item> menu_loadgame </item>
+<item> menu_main </item>
+<item> menu_multiplayer </item>
+<item> menu_options </item>
+<item> menu_playerconfig </item>
+<item> menu_quit </item>
+<item> menu_save </item>
+<item> menu_savegame </item>
+<item> menu_setup </item>
+<item> menu_select </item>
+<item> menu_singleplayer </item>
+<item> menu_startserver </item>
+<item> menu_video </item>
+<item> menu_dmoptions </item>
+<item> menu_game </item>
+<item> menu_joinserver </item>
+<item> messagemode </item>
+<item> messagemode2 </item>
+<item> messagemode3 </item>
+<item> messagemode4 </item>
+<item> model </item>
+<item> modelist </item>
+<item> modellist </item>
+<item> msg </item>
+<item> multiplayer </item>
+<item> music </item>
+<item> name </item>
+<item> net_stats </item>
+<item> new </item>
+<item> next </item>
+<item> nextul </item>
+<item> nightvision </item>
+<item> no_pogo_stick </item>
+<item> noaim </item>
+<item> noclip </item>
+<item> noexit </item>
+<item> nomonsters </item>
+<item> noskins </item>
+<item> nosound </item>
+<item> notarget </item>
+<item> options </item>
+<item> packet </item>
+<item> password </item>
+<item> path </item>
+<item> pausable </item>
+<item> pause </item>
+<item> paused </item>
+<item> ping </item>
+<item> pingservers </item>
+<item> play </item>
+<item> playdemo </item>
+<item> playerconfig </item>
+<item> players </item>
+<item> playvol </item>
+<item> pushlatency </item>
+<item> pointfile </item>
+<item> ppdemostart </item>
+<item> pr_boundscheck </item>
+<item> precache </item>
+<item> prespawn </item>
+<item> prev </item>
+<item> profile </item>
+<item> profilequit </item>
+<item> prog </item>
+<item> quit </item>
+<item> r_drawentities </item>
+<item> r_drawflat </item>
+<item> r_draworder </item>
+<item> r_drawviewmodel </item>
+<item> r_dspeeds </item>
+<item> r_dynamic </item>
+<item> r_fullbright </item>
+<item> r_lightmap </item>
+<item> r_netgraph </item>
+<item> r_netgraph_box </item>
+<item> r_norefresh </item>
+<item> r_novis </item>
+<item> r_numedges </item>
+<item> r_numsurfs </item>
+<item> r_particles </item>
+<item> r_polymodelstats </item>
+<item> r_reportsurfout </item>
+<item> r_shadows </item>
+<item> r_speeds </item>
+<item> r_timegraph </item>
+<item> r_wateralpha </item>
+<item> r_waterripple </item>
+<item> r_waterwarp </item>
+<item> r_zgraph </item>
+<item> rcon </item>
+<item> rcon_password </item>
+<item> reconnect </item>
+<item> record </item>
+<item> registered </item>
+<item> reload </item>
+<item> removedemo </item>
+<item> removeid </item>
+<item> removeip </item>
+<item> rerecord </item>
+<item> reset </item>
+<item> resetrcon </item>
+<item> restart </item>
+<item> retry </item>
+<item> s_disable_a3d </item>
+<item> s_enable_a3d </item>
+<item> s_info </item>
+<item> s_list </item>
+<item> s_stop </item>
+<item> samelevel </item>
+<item> save </item>
+<item> savegame </item>
+<item> savetranslations </item>
+<item> score </item>
+<item> screenshot </item>
+<item> screenshotJPEG </item>
+<item> sectorlist </item>
+<item> sendents </item>
+<item> serverinfo </item>
+<item> serverprofile </item>
+<item> serverrecord </item>
+<item> serverstatus </item>
+<item> serverstop </item>
+<item> setRecommended </item>
+<item> setdemoinfo </item>
+<item> setenv </item>
+<item> setinfo </item>
+<item> setmaster </item>
+<item> setrom </item>
+<item> shaderlist </item>
+<item> show_fps </item>
+<item> show_time </item>
+<item> showdrop </item>
+<item> showinfo </item>
+<item> showip </item>
+<item> showpackets </item>
+<item> showpause </item>
+<item> showram </item>
+<item> showturtle </item>
+<item> shutdownserver </item>
+<item> singlePlayLink </item>
+<item> sizedown </item>
+<item> sizeup </item>
+<item> skill </item>
+<item> skin </item>
+<item> skinlist </item>
+<item> skins </item>
+<item> sky </item>
+<item> skyboxlist </item>
+<item> slist </item>
+<item> slot1 </item>
+<item> slot10 </item>
+<item> slot2 </item>
+<item> slot3 </item>
+<item> slot4 </item>
+<item> slot5 </item>
+<item> slot6 </item>
+<item> slot7 </item>
+<item> slot8 </item>
+<item> slot9 </item>
+<item> snap </item>
+<item> snapall </item>
+<item> snapshot </item>
+<item> snapto </item>
+<item> snd </item>
+<item> snd_noextraupdate </item>
+<item> snd_restart </item>
+<item> snd_show </item>
+<item> soundfade </item>
+<item> soundinfo </item>
+<item> soundlist </item>
+<item> spawn </item>
+<item> spdevmap </item>
+<item> speak </item>
+<item> special </item>
+<item> specmode </item>
+<item> spectator </item>
+<item> spectator_password </item>
+<item> spk </item>
+<item> spmap </item>
+<item> startLimboMode </item>
+<item> startSingleplayer </item>
+<item> startdemos </item>
+<item> startmovie </item>
+<item> startserver </item>
+<item> stat </item>
+<item> stats </item>
+<item> status </item>
+<item> stop </item>
+<item> stopLimboMode </item>
+<item> stopdemo </item>
+<item> stoprecord </item>
+<item> stopsound </item>
+<item> stopul </item>
+<item> streamingsound </item>
+<item> stuffcmd </item>
+<item> stuffcmds </item>
+<item> sv </item>
+<item> sv_allow_log </item>
+<item> sv_allow_pings </item>
+<item> sv_allow_status </item>
+<item> sv_gamedir </item>
+<item> sv_highchars </item>
+<item> sv_mapcheck </item>
+<item> sv_nostep </item>
+<item> sv_spectatormaxspeed </item>
+<item> sv_spetalk </item>
+<item> sv_maplist </item>
+<item> swapdemo </item>
+<item> sys_cpuid </item>
+<item> sys_dead_sleep </item>
+<item> sys_extrasleep </item>
+<item> sys_nostdout </item>
+<item> systeminfo </item>
+<item> taginfo </item>
+<item> team </item>
+<item> teamplay </item>
+<item> tell </item>
+<item> test </item>
+<item> test2 </item>
+<item> time </item>
+<item> thirdperson </item>
+<item> timedemo </item>
+<item> timeleft </item>
+<item> timerefresh </item>
+<item> toggle </item>
+<item> togglebrowser </item>
+<item> togglechat </item>
+<item> toggleconsole </item>
+<item> togglemenu </item>
+<item> topcolor </item>
+<item> touchFile </item>
+<item> trackplayer </item>
+<item> ui_restart </item>
+<item> unalias </item>
+<item> unbindall </item>
+<item> updatehunkusage </item>
+<item> updatescreen </item>
+<item> upload </item>
+<item> use </item>
+<item> user </item>
+<item> userinfo </item>
+<item> users </item>
+<item> v_centerspeed </item>
+<item> v_cshift </item>
+<item> v_idlescale </item>
+<item> version </item>
+<item> vid </item>
+<item> vid_center </item>
+<item> vid_config_x </item>
+<item> vid_describecurrentmode </item>
+<item> vid_describemode </item>
+<item> vid_describemodes </item>
+<item> vid_forcemode </item>
+<item> vid_fullscreen </item>
+<item> vid_fullscreen_mode </item>
+<item> vid_minimize </item>
+<item> vid_nopageflip </item>
+<item> vid_nummodes </item>
+<item> vid_restart </item>
+<item> vid_stretch_by_2 </item>
+<item> vid_testmode </item>
+<item> vid_windowed </item>
+<item> vid_windowed_mode </item>
+<item> vid_front </item>
+<item> video </item>
+<item> viewframe </item>
+<item> viewmodel </item>
+<item> viewnext </item>
+<item> viewpos </item>
+<item> viewprev </item>
+<item> vminfo </item>
+<item> vmprofile </item>
+<item> voice_showbanned </item>
+<item> votemap </item>
+<item> vstr </item>
+<item> wait </item>
+<item> watervis </item>
+<item> wave </item>
+<item> weapon </item>
+<item> weapon_knife </item>
+<item> weaplast </item>
+<item> weapnext </item>
+<item> weapprev </item>
+<item> windowsr_drawentities </item>
+<item> writecfg </item>
+<item> writeconfig </item>
+<item> writeid </item>
+<item> writeip </item>
+<item> z_stats </item>
+</list>
+
+
+<list name="Variables">
+<item> ah </item>
+<item> ActiveAction </item>
+<item> _cl_color </item>
+<item> _cl_name </item>
+<item> _config_com_baud </item>
+<item> _config_com_irq </item>
+<item> _config_com_modem </item>
+<item> _config_com_port </item>
+<item> _config_modem_clear </item>
+<item> _config_modem_dialtype </item>
+<item> _config_modem_hangup </item>
+<item> _config_modem_init </item>
+<item> _snd_mixahead </item>
+<item> _vid_default_mode </item>
+<item> _vid_default_mode_win </item>
+<item> _vid_wait_override </item>
+<item> _windowed_mouse </item>
+<item> address </item>
+<item> adr </item>
+<item> adr0 </item>
+<item> adr1 </item>
+<item> adr2 </item>
+<item> adr3 </item>
+<item> adr4 </item>
+<item> adr5 </item>
+<item> adr6 </item>
+<item> adr7 </item>
+<item> adr8 </item>
+<item> advanced </item>
+<item> advaxisr </item>
+<item> advaxisu </item>
+<item> advaxisv </item>
+<item> advaxisx </item>
+<item> advaxisy </item>
+<item> advaxisz </item>
+<item> airaccelerate </item>
+<item> allow </item>
+<item> allow_download_players </item>
+<item> ambient_fade </item>
+<item> ambient_level </item>
+<item> anglespeedkey </item>
+<item> arch </item>
+<item> array </item>
+<item> arrays </item>
+<item> att </item>
+<item> auto </item>
+<item> autoskins </item>
+<item> b </item>
+<item> bgmbuffer </item>
+<item> bgmvolume </item>
+<item> bit </item>
+<item> bitdepth </item>
+<item> blend </item>
+<item> bob </item>
+<item> bob_pitch </item>
+<item> bob_roll </item>
+<item> bob_up </item>
+<item> bot_aasoptimize </item>
+<item> bot_challenge </item>
+<item> bot_debug </item>
+<item> bot_developer </item>
+<item> bot_enable </item>
+<item> bot_fastchat </item>
+<item> bot_forceclustering </item>
+<item> bot_forcereachability </item>
+<item> bot_forcewrite </item>
+<item> bot_grapple </item>
+<item> bot_groundonly </item>
+<item> bot_interbreedbots </item>
+<item> bot_interbreedchar </item>
+<item> bot_interbreedcycle </item>
+<item> bot_interbreedwrite </item>
+<item> bot_maxdebugpolys </item>
+<item> bot_miniplayers </item>
+<item> bot_minplayers </item>
+<item> bot_nochat </item>
+<item> bot_pause </item>
+<item> bot_reachability </item>
+<item> bot_reloadcharacters </item>
+<item> bot_report </item>
+<item> bot_rocketjump </item>
+<item> bot_saveroutingcache </item>
+<item> bot_testclusters </item>
+<item> bot_testichat </item>
+<item> bot_testrchat </item>
+<item> bot_testsolid </item>
+<item> bot_thinktime </item>
+<item> bot_visualizejumppads </item>
+<item> brighten </item>
+<item> brightness </item>
+<item> broken </item>
+<item> cd </item>
+<item> cd_loopcount </item>
+<item> cd_looptrack </item>
+<item> cd_nocd </item>
+<item> cd_plugin </item>
+<item> centermove </item>
+<item> centerspeed </item>
+<item> centertime </item>
+<item> cg_autoactivate </item>
+<item> cg_autoswitch </item>
+<item> cg_blinktime </item>
+<item> cg_bloodTime </item>
+<item> cg_bobpitch </item>
+<item> cg_bobroll </item>
+<item> cg_bobup </item>
+<item> cg_brassTime </item>
+<item> cg_cameraOrbitDelay </item>
+<item> cg_clipboardName </item>
+<item> cg_coronafardist </item>
+<item> cg_coronas </item>
+<item> cg_crosshairAlpha </item>
+<item> cg_crosshairHealth </item>
+<item> cg_crosshairSize </item>
+<item> cg_crosshairX </item>
+<item> cg_crosshairY </item>
+<item> cg_currentSelectedPlayer </item>
+<item> cg_currentSelectedPlayerName </item>
+<item> cg_cursorHints </item>
+<item> cg_cycleAllWeaps </item>
+<item> cg_deferPlayers </item>
+<item> cg_descriptiveText </item>
+<item> cg_draw2D </item>
+<item> cg_draw3dIcons </item>
+<item> cg_drawAllWeaps </item>
+<item> cg_drawAmmoWarning </item>
+<item> cg_drawAttacker </item>
+<item> cg_drawCompass </item>
+<item> cg_drawCrosshair </item>
+<item> cg_drawCrosshairNames </item>
+<item> cg_drawCrosshairPickups </item>
+<item> cg_drawFPGun </item>
+<item> cg_drawFPS </item>
+<item> cg_drawFrags </item>
+<item> cg_drawGun </item>
+<item> cg_drawIcons </item>
+<item> cg_drawNotifyText </item>
+<item> cg_drawRewards </item>
+<item> cg_drawSnapshot </item>
+<item> cg_drawSpreadScale </item>
+<item> cg_drawStatus </item>
+<item> cg_drawTeamOverlay </item>
+<item> cg_drawTimer </item>
+<item> cg_emptyswitch </item>
+<item> cg_fov </item>
+<item> cg_forcemodel </item>
+<item> cg_gibs </item>
+<item> cg_hudAlpha </item>
+<item> cg_hudFiles </item>
+<item> cg_lagometer </item>
+<item> cg_marks </item>
+<item> cg_marktime </item>
+<item> cg_noplayeranims </item>
+<item> cg_nopredict </item>
+<item> cg_noTaunt </item>
+<item> cg_noVoiceChats </item>
+<item> cg_noVoiceText </item>
+<item> cg_particleDist </item>
+<item> cg_particleLOD </item>
+<item> cg_popupLimboMenu </item>
+<item> cg_predictItems </item>
+<item> cg_quickMessageAlt </item>
+<item> cg_railTrailTime </item>
+<item> cg_recoilPitch </item>
+<item> cg_reticleBrightness </item>
+<item> cg_reticleType </item>
+<item> cg_runpitch </item>
+<item> cg_runroll </item>
+<item> cg_scorePlums </item>
+<item> cg_selectedPlayer </item>
+<item> cg_selectedPlayerName </item>
+<item> cg_shadows </item>
+<item> cg_showblood </item>
+<item> cg_simpleItems </item>
+<item> cg_skybox </item>
+<item> cg_stereoSeparation </item>
+<item> cg_teamChatHeight </item>
+<item> cg_teamChatTime </item>
+<item> cg_teamChatsOnly </item>
+<item> cg_thirdperson </item>
+<item> cg_thirdpersonrange </item>
+<item> cg_thirdPersonAngle </item>
+<item> cg_useWeapsForZoom </item>
+<item> cg_uselessNostalgia </item>
+<item> cg_viewsize </item>
+<item> cg_voiceSpriteTime </item>
+<item> cg_weaponCycleDelay </item>
+<item> cg_wolfparticles </item>
+<item> cg_zoomDefaultBinoc </item>
+<item> cg_zoomDefaultFG </item>
+<item> cg_zoomDefaultSniper </item>
+<item> cg_zoomDefaultSnooper </item>
+<item> cg_zoomStepBinoc </item>
+<item> cg_zoomStepFG </item>
+<item> cg_zoomStepSnooper </item>
+<item> cg_zoomfov </item>
+<item> cg_zoomstepsniper </item>
+<item> chase_active </item>
+<item> chase_back </item>
+<item> chase_right </item>
+<item> chase_up </item>
+<item> cheats </item>
+<item> cl </item>
+<item> cl_allowDownload </item>
+<item> cl_anglespeedkey </item>
+<item> cl_anonymous </item>
+<item> cl_autoexec </item>
+<item> cl_autoskins </item>
+<item> cl_avidemo </item>
+<item> cl_backspeed </item>
+<item> cl_blend </item>
+<item> cl_bob </item>
+<item> cl_bobcycle </item>
+<item> cl_bobup </item>
+<item> cl_bypassMouseInput </item>
+<item> cl_cacheGathering </item>
+<item> cl_camera_maxpitch </item>
+<item> cl_camera_maxyaw </item>
+<item> cl_chasecam </item>
+<item> cl_chatmode </item>
+<item> cl_conXOffset </item>
+<item> cl_crossx </item>
+<item> cl_crossy </item>
+<item> cl_cshift_bonus </item>
+<item> cl_cshift_content </item>
+<item> cl_cshift_damage </item>
+<item> cl_cshift_powerup </item>
+<item> cl_debugMove </item>
+<item> cl_debugTranslation </item>
+<item> cl_demospeed </item>
+<item> cl_entities </item>
+<item> cl_footsteps </item>
+<item> cl_forceavidemo </item>
+<item> cl_forwardspeed </item>
+<item> cl_freelook </item>
+<item> cl_freezeDemo </item>
+<item> cl_gun </item>
+<item> cl_hidefrags </item>
+<item> cl_hightrack </item>
+<item> cl_hudswap </item>
+<item> cl_language </item>
+<item> cl_lights </item>
+<item> cl_maxPing </item>
+<item> cl_maxfps </item>
+<item> cl_maxpackets </item>
+<item> cl_motd </item>
+<item> cl_motdString </item>
+<item> cl_mouseAccel </item>
+<item> cl_movespeedkey </item>
+<item> cl_nodelta </item>
+<item> cl_nofake </item>
+<item> cl_nolerp </item>
+<item> cl_nopred </item>
+<item> cl_noprint </item>
+<item> cl_noskins </item>
+<item> cl_packetdup </item>
+<item> cl_parsesay </item>
+<item> cl_particles </item>
+<item> cl_paused </item>
+<item> cl_pitchspeed </item>
+<item> cl_predict </item>
+<item> cl_predict_players </item>
+<item> cl_predict_players2 </item>
+<item> cl_observercrosshair </item>
+<item> cl_quakerc </item>
+<item> cl_rollangle </item>
+<item> cl_rollspeed </item>
+<item> cl_run </item>
+<item> cl_running </item>
+<item> cl_serverStatusResendTime </item>
+<item> cl_showfps </item>
+<item> cl_showSend </item>
+<item> cl_showServerCommands </item>
+<item> cl_showTimeDelta </item>
+<item> cl_showmiss </item>
+<item> cl_showmouserate </item>
+<item> cl_shownet </item>
+<item> cl_shownuments </item>
+<item> cl_sidespeed </item>
+<item> cl_stats </item>
+<item> cl_stereo </item>
+<item> cl_stereo_separation </item>
+<item> cl_testblend </item>
+<item> cl_testentities </item>
+<item> cl_testlights </item>
+<item> cl_testparticles </item>
+<item> cl_timeNudge </item>
+<item> cl_timeout </item>
+<item> cl_upspeed </item>
+<item> cl_verstring </item>
+<item> cl_visibleClients </item>
+<item> cl_vwep </item>
+<item> cl_waitForFire </item>
+<item> cl_wavefilerecord </item>
+<item> cl_yawspeed </item>
+<item> clear </item>
+<item> clearcolor </item>
+<item> clientport </item>
+<item> cm_playerCurveClip </item>
+<item> cmd_highchars </item>
+<item> cmd_warncmd </item>
+<item> cmdlist </item>
+<item> color </item>
+<item> color1 </item>
+<item> color2 </item>
+<item> com_blood </item>
+<item> com_buildScript </item>
+<item> com_cameraMode </item>
+<item> com_dropsim </item>
+<item> com_hunkMegs </item>
+<item> com_hunkused </item>
+<item> com_introplayed </item>
+<item> com_maxfps </item>
+<item> com_recommendedSet </item>
+<item> com_showtrace </item>
+<item> com_soundMegs </item>
+<item> com_speeds </item>
+<item> com_zoneMegs </item>
+<item> compiled </item>
+<item> con_debug </item>
+<item> con_notifytime </item>
+<item> con_restricted </item>
+<item> conspeed </item>
+<item> contrast </item>
+<item> coop </item>
+<item> crosshair </item>
+<item> crosshaircolor </item>
+<item> cull </item>
+<item> d_mipcap </item>
+<item> d_mipscale </item>
+<item> deathmatch </item>
+<item> debug_protocol </item>
+<item> debuggraph </item>
+<item> dedicated </item>
+<item> devdll </item>
+<item> developer </item>
+<item> dlabs </item>
+<item> dmflags </item>
+<item> dm </item>
+<item> down </item>
+<item> download </item>
+<item> drawall </item>
+<item> drawbuffer </item>
+<item> drawentities </item>
+<item> drawflat </item>
+<item> draworder </item>
+<item> drawworld </item>
+<item> driver </item>
+<item> dspeeds </item>
+<item> dynamic </item>
+<item> easter_eggs </item>
+<item> edgefriction </item>
+<item> empty </item>
+<item> enforcetime </item>
+<item> entities </item>
+<item> entlatency </item>
+<item> ext </item>
+<item> filter </item>
+<item> filterban </item>
+<item> finish </item>
+<item> fixedtime </item>
+<item> flashblend </item>
+<item> flood </item>
+<item> flood_msgs </item>
+<item> flood_persecond </item>
+<item> flood_waitdelay </item>
+<item> flushmap </item>
+<item> footsteps </item>
+<item> forward </item>
+<item> forwardsensitivity </item>
+<item> forwardspeed </item>
+<item> forwardthreshold </item>
+<item> fov </item>
+<item> fraglimit </item>
+<item> freelook </item>
+<item> fs_basegame </item>
+<item> fs_basepath </item>
+<item> fs_cdpath </item>
+<item> fs_copyfiles </item>
+<item> fs_debug </item>
+<item> fs_game </item>
+<item> fs_globalcfg </item>
+<item> fs_homepath </item>
+<item> fs_pluginpath </item>
+<item> fs_restrict </item>
+<item> fs_sharepath </item>
+<item> fs_skinbase </item>
+<item> fs_usercfg </item>
+<item> fs_userpath </item>
+<item> fullbright </item>
+<item> fullscreen </item>
+<item> g_allowvote </item>
+<item> g_altStopwatchMode </item>
+<item> g_arenasFile </item>
+<item> g_blueTeam </item>
+<item> g_botsFile </item>
+<item> g_complaintlimit </item>
+<item> g_currentRound </item>
+<item> g_friendlyFire </item>
+<item> g_gameskill </item>
+<item> g_gametype </item>
+<item> g_maxlives </item>
+<item> g_minGameClients </item>
+<item> g_missionStats </item>
+<item> g_nextTimeLimit </item>
+<item> g_noTeamSwitching </item>
+<item> g_redTeam </item>
+<item> g_select_empty </item>
+<item> g_spAwards </item>
+<item> g_spScores1 </item>
+<item> g_spScores2 </item>
+<item> g_spScores3 </item>
+<item> g_spScores4 </item>
+<item> g_spScores5 </item>
+<item> g_spSkill </item>
+<item> g_spVideos </item>
+<item> g_userAlliedRespawnTime </item>
+<item> g_userAxisRespawnTime </item>
+<item> g_userTimeLimit </item>
+<item> game </item>
+<item> gamecfg </item>
+<item> gamedate </item>
+<item> gamedir </item>
+<item> gamename </item>
+<item> gamestate </item>
+<item> gamma </item>
+<item> gender </item>
+<item> gender_auto </item>
+<item> gl_3dlabs_broken </item>
+<item> gl_allow_software </item>
+<item> gl_bitdepth </item>
+<item> gl_clear </item>
+<item> gl_conalpha </item>
+<item> gl_conspin </item>
+<item> gl_cshiftpercent </item>
+<item> gl_cull </item>
+<item> gl_drawbuffer </item>
+<item> gl_driver </item>
+<item> gl_dynamic </item>
+<item> gl_ext_compiled_vertex_array </item>
+<item> gl_ext_multitexture </item>
+<item> gl_ext_palettedtexture </item>
+<item> gl_ext_pointparameters </item>
+<item> gl_ext_swapinterval </item>
+<item> gl_finish </item>
+<item> gl_flashblend </item>
+<item> gl_keeptjunctions </item>
+<item> gl_lightmap </item>
+<item> gl_lightmap_align </item>
+<item> gl_lightmap_subimage </item>
+<item> gl_lockpvs </item>
+<item> gl_log </item>
+<item> gl_max_size </item>
+<item> gl_mesh_cache </item>
+<item> gl_mode </item>
+<item> gl_modulate </item>
+<item> gl_monolightmap </item>
+<item> gl_nobind </item>
+<item> gl_nocolors </item>
+<item> gl_nosubimage </item>
+<item> gl_occlusion </item>
+<item> gl_particle_att_a </item>
+<item> gl_particle_att_b </item>
+<item> gl_particle_att_c </item>
+<item> gl_particle_max_size </item>
+<item> gl_particle_min_size </item>
+<item> gl_particle_mip </item>
+<item> gl_particle_size </item>
+<item> gl_picmip </item>
+<item> gl_playermip </item>
+<item> gl_polyblend </item>
+<item> gl_reporttjunctions </item>
+<item> gl_round_down </item>
+<item> gl_saturatelighting </item>
+<item> gl_screenshot_byte_swap </item>
+<item> gl_shadows </item>
+<item> gl_showtris </item>
+<item> gl_sky_debug </item>
+<item> gl_sky_divide </item>
+<item> gl_skymip </item>
+<item> gl_smoothmodels </item>
+<item> gl_subdivide_size </item>
+<item> gl_swapinterval </item>
+<item> gl_texsort </item>
+<item> gl_texturealphamode </item>
+<item> gl_texturemode </item>
+<item> gl_texturesolidmode </item>
+<item> gl_triplebuffer </item>
+<item> gl_vertex_arrays </item>
+<item> gl_ztrick </item>
+<item> graphheight </item>
+<item> graphscale </item>
+<item> graphshift </item>
+<item> gravity </item>
+<item> gun </item>
+<item> gun_x </item>
+<item> gun_y </item>
+<item> gun_z </item>
+<item> hand </item>
+<item> handicap </item>
+<item> head </item>
+<item> headModel </item>
+<item> headmodel </item>
+<item> host </item>
+<item> host_framerate </item>
+<item> host_speeds </item>
+<item> hostname </item>
+<item> hostport </item>
+<item> hud_fastswitch </item>
+<item> in </item>
+<item> in_amp </item>
+<item> in_bind_imt </item>
+<item> in_debugjoystick </item>
+<item> in_dga </item>
+<item> in_dga_mouseaccel </item>
+<item> in_dgamouse </item>
+<item> in_grab </item>
+<item> in_joystick </item>
+<item> in_midi </item>
+<item> in_mouse </item>
+<item> in_mouse_amp </item>
+<item> in_mouse_filter </item>
+<item> in_mouse_pre_amp </item>
+<item> in_pre_amp </item>
+<item> initsound </item>
+<item> intensity </item>
+<item> ip </item>
+<item> ip_clientport </item>
+<item> ip_hostport </item>
+<item> ipx </item>
+<item> ipx_clientport </item>
+<item> ipx_hostport </item>
+<item> journal </item>
+<item> joy </item>
+<item> joy_advanced </item>
+<item> joy_advaxisr </item>
+<item> joy_advaxisu </item>
+<item> joy_advaxisv </item>
+<item> joy_advaxisx </item>
+<item> joy_advaxisy </item>
+<item> joy_advaxisz </item>
+<item> joy_amp </item>
+<item> joy_device </item>
+<item> joy_forwardsensitivity </item>
+<item> joy_forwardthreshold </item>
+<item> joy_name </item>
+<item> joy_pitchsensitivity </item>
+<item> joy_pitchthreshold </item>
+<item> joy_pre_amp </item>
+<item> joy_sensitivity </item>
+<item> joy_sidesensitivity </item>
+<item> joy_sidethreshold </item>
+<item> joy_threshold </item>
+<item> joy_upsensitivity </item>
+<item> joy_upthreshold </item>
+<item> joy_yawsensitivity </item>
+<item> joy_yawthreshold </item>
+<item> joyadvanced </item>
+<item> joyadvaxisr </item>
+<item> joyadvaxisu </item>
+<item> joyadvaxisv </item>
+<item> joyadvaxisx </item>
+<item> joyadvaxisy </item>
+<item> joyadvaxisz </item>
+<item> joyaxis1 </item>
+<item> joyaxis2 </item>
+<item> joyaxis3 </item>
+<item> joyaxis4 </item>
+<item> joyaxis5 </item>
+<item> joyaxis6 </item>
+<item> joyaxis7 </item>
+<item> joyaxis8 </item>
+<item> joyforwardsensitivity </item>
+<item> joyforwardthreshold </item>
+<item> joyname </item>
+<item> joypitchsensitivity </item>
+<item> joypitchthreshold </item>
+<item> joysidesensitivity </item>
+<item> joysidethreshold </item>
+<item> joystick </item>
+<item> joywwhack1 </item>
+<item> joywwhack2 </item>
+<item> joyyawsensitivity </item>
+<item> joyyawthreshold </item>
+<item> khz </item>
+<item> lcd_x </item>
+<item> lcd_yaw </item>
+<item> lerpmodels </item>
+<item> lightmap </item>
+<item> lights </item>
+<item> limit </item>
+<item> listen </item>
+<item> loadas </item>
+<item> loadas8bit </item>
+<item> localid </item>
+<item> lockpvs </item>
+<item> log </item>
+<item> log_stats </item>
+<item> logfile </item>
+<item> lookspring </item>
+<item> lookstrafe </item>
+<item> loopcount </item>
+<item> looptrack </item>
+<item> m_filter </item>
+<item> m_forward </item>
+<item> m_pitch </item>
+<item> m_side </item>
+<item> m_yaw </item>
+<item> mapname </item>
+<item> maps </item>
+<item> max </item>
+<item> maxclients </item>
+<item> maxedges </item>
+<item> maxentities </item>
+<item> maxfps </item>
+<item> maxplayers </item>
+<item> maxspectators </item>
+<item> maxsurfs </item>
+<item> maxvelocity </item>
+<item> min </item>
+<item> mipcap </item>
+<item> mipscale </item>
+<item> mixahead </item>
+<item> mode </item>
+<item> model </item>
+<item> models </item>
+<item> modex </item>
+<item> modulate </item>
+<item> monolightmap </item>
+<item> mouse </item>
+<item> mp_currentPlayerType </item>
+<item> mp_currentTeam </item>
+<item> mp_playerType </item>
+<item> mp_team </item>
+<item> mp_weapon </item>
+<item> mp_autokick </item>
+<item> mp_autoteambalance </item>
+<item> mp_c4timer </item>
+<item> mp_flashlight </item>
+<item> mp_footsteps </item>
+<item> mp_forcechasecam </item>
+<item> mp_freezetime </item>
+<item> mp_friendlyfire </item>
+<item> mp_hostagepenalty </item>
+<item> mp_limitteams </item>
+<item> mp_logmessages </item>
+<item> mp_mapvoteration </item>
+<item> mp_roundtime </item>
+<item> mp_timelimit </item>
+<item> mp_tkpunish </item>
+<item> msg </item>
+<item> msgs </item>
+<item> multitexture </item>
+<item> name </item>
+<item> net_graph </item>
+<item> net_ip </item>
+<item> net_messagetimeout </item>
+<item> net_noudp </item>
+<item> net_port </item>
+<item> net_qport </item>
+<item> net_restart </item>
+<item> netdosexpire </item>
+<item> netdosvalues </item>
+<item> netgraph </item>
+<item> nextdemo </item>
+<item> nextmap </item>
+<item> nextserver </item>
+<item> noalttab </item>
+<item> nobind </item>
+<item> nocd </item>
+<item> nocull </item>
+<item> nodelta </item>
+<item> noexit </item>
+<item> nomonsters </item>
+<item> norefresh </item>
+<item> noreload </item>
+<item> noskins </item>
+<item> nosound </item>
+<item> nosubimage </item>
+<item> novis </item>
+<item> palettedtexture </item>
+<item> particle </item>
+<item> particles </item>
+<item> password </item>
+<item> pausable </item>
+<item> persecond </item>
+<item> picmip </item>
+<item> pitch </item>
+<item> pitchsensitivity </item>
+<item> pitchspeed </item>
+<item> pitchthreshold </item>
+<item> playermip </item>
+<item> players </item>
+<item> pointparameters </item>
+<item> polyblend </item>
+<item> polymodelstats </item>
+<item> port </item>
+<item> pr_checkextensions </item>
+<item> pr_deadbeef_ents </item>
+<item> pr_deadbeef_locals </item>
+<item> pr_debug </item>
+<item> pr_source_path </item>
+<item> precache </item>
+<item> predict </item>
+<item> primary </item>
+<item> printspeed </item>
+<item> protocol </item>
+<item> public </item>
+<item> pushlatency </item>
+<item> qport </item>
+<item> r_aliastransadj </item>
+<item> r_aliastransbase </item>
+<item> r_allowExtensions </item>
+<item> r_allowSoftwareGL </item>
+<item> r_ambient </item>
+<item> r_ambientScale </item>
+<item> r_bonesDebug </item>
+<item> r_cache </item>
+<item> r_cacheModels </item>
+<item> r_cacheShaders </item>
+<item> r_clear </item>
+<item> r_clearcolor </item>
+<item> r_colorMipLevels </item>
+<item> r_colorbits </item>
+<item> r_compressModels </item>
+<item> r_customaspect </item>
+<item> r_customheight </item>
+<item> r_customwidth </item>
+<item> r_debugSort </item>
+<item> r_debugSurface </item>
+<item> r_debuglight </item>
+<item> r_depthbits </item>
+<item> r_detailtextures </item>
+<item> r_directedScale </item>
+<item> r_displayRefresh </item>
+<item> r_dlightBacks </item>
+<item> r_dlight_lightmap </item>
+<item> r_dlight_max </item>
+<item> r_drawBuffer </item>
+<item> r_drawSun </item>
+<item> r_drawentities </item>
+<item> r_drawexplosions </item>
+<item> r_drawflat </item>
+<item> r_draworder </item>
+<item> r_drawviewmodel </item>
+<item> r_drawworld </item>
+<item> r_dspeeds </item>
+<item> r_dynamic </item>
+<item> r_dynamiclight </item>
+<item> r_explosionclip </item>
+<item> r_exportCompressedModels </item>
+<item> r_ext_compiled_vertex_array </item>
+<item> r_ext_compress_textures </item>
+<item> r_ext_compressed_textures </item>
+<item> r_ext_gamma_control </item>
+<item> r_ext_multitexture </item>
+<item> r_ext_texture_env_add </item>
+<item> r_facePlaneCull </item>
+<item> r_fastsky </item>
+<item> r_finish </item>
+<item> r_firecolor </item>
+<item> r_flareFade </item>
+<item> r_flareSize </item>
+<item> r_flares </item>
+<item> r_fullbright </item>
+<item> r_fullscreen </item>
+<item> r_gamma </item>
+<item> r_glDriver </item>
+<item> r_glIgnoreWicked3D </item>
+<item> r_graphheight </item>
+<item> r_highQualit </item>
+<item> r_highQualityVideo </item>
+<item> r_ignore </item>
+<item> r_ignoreFastPath </item>
+<item> r_ignoreGLErrors </item>
+<item> r_ignorehwgamma </item>
+<item> r_inGameVideo </item>
+<item> r_intensity </item>
+<item> r_lastValidRenderer </item>
+<item> r_lerpmodels </item>
+<item> r_lightmap </item>
+<item> r_lightmap_components </item>
+<item> r_lockpvs </item>
+<item> r_lodCurveError </item>
+<item> r_lodbias </item>
+<item> r_lodscale </item>
+<item> r_logFile </item>
+<item> r_lowMemTextureSize </item>
+<item> r_lowMemTextureThreshold </item>
+<item> r_mapOverBrightBits </item>
+<item> r_maxedges </item>
+<item> r_maxpolys </item>
+<item> r_maxpolyverts </item>
+<item> r_maxsurfs </item>
+<item> r_measureOverdraw </item>
+<item> r_mirroralpha </item>
+<item> r_mode </item>
+<item> r_netgraph </item>
+<item> r_netgraph_alpha </item>
+<item> r_nobind </item>
+<item> r_nocull </item>
+<item> r_nocurves </item>
+<item> r_noportals </item>
+<item> r_norefresh </item>
+<item> r_novis </item>
+<item> r_numedges </item>
+<item> r_numsurfs </item>
+<item> r_offsetfactor </item>
+<item> r_offsetunits </item>
+<item> r_overBrightBits </item>
+<item> r_particles_max </item>
+<item> r_particles_style </item>
+<item> r_picmip </item>
+<item> r_picmip2 </item>
+<item> r_polymodelstats </item>
+<item> r_portalOnly </item>
+<item> r_preloadTextures </item>
+<item> r_previousglDriver </item>
+<item> r_primitives </item>
+<item> r_printShaders </item>
+<item> r_railCoreWidth </item>
+<item> r_railSegmentLength </item>
+<item> r_railWidth </item>
+<item> r_reportedgeout </item>
+<item> r_reportsurfout </item>
+<item> r_rmse </item>
+<item> r_roundImagesDown </item>
+<item> r_saveFontData </item>
+<item> r_shadows </item>
+<item> r_showImages </item>
+<item> r_showSmp </item>
+<item> r_showcluster </item>
+<item> r_shownormals </item>
+<item> r_showsky </item>
+<item> r_showtris </item>
+<item> r_simpleMipMaps </item>
+<item> r_singleShader </item>
+<item> r_skipBackEnd </item>
+<item> r_skyname </item>
+<item> r_smp </item>
+<item> r_speeds </item>
+<item> r_stencilbits </item>
+<item> r_stereo </item>
+<item> r_subdivisions </item>
+<item> r_swapInterval </item>
+<item> r_textureMode </item>
+<item> r_texturebits </item>
+<item> r_timegraph </item>
+<item> r_uiFullScreen </item>
+<item> r_verbose </item>
+<item> r_vertexLight </item>
+<item> r_wateralpha </item>
+<item> r_waterwarp </item>
+<item> r_wolffog </item>
+<item> r_zfar </item>
+<item> r_znear </item>
+<item> rate </item>
+<item> rcon </item>
+<item> rconAddress </item>
+<item> rconPassword </item>
+<item> rcon_address </item>
+<item> rcon_password </item>
+<item> reconnect </item>
+<item> ref </item>
+<item> registered </item>
+<item> reportedgeout </item>
+<item> reportsurfout </item>
+<item> roll </item>
+<item> rollangle </item>
+<item> rollspeed </item>
+<item> round </item>
+<item> run </item>
+<item> run_pitch </item>
+<item> run_roll </item>
+<item> s_compression </item>
+<item> s_defaultsound </item>
+<item> s_doppler </item>
+<item> s_initsound </item>
+<item> s_khz </item>
+<item> s_loadas8bit </item>
+<item> s_mixPreStep </item>
+<item> s_mixahead </item>
+<item> s_musicvolume </item>
+<item> s_mute </item>
+<item> s_nocompressed </item>
+<item> s_usingA3D </item>
+<item> s_primary </item>
+<item> s_separation </item>
+<item> s_show </item>
+<item> s_testsound </item>
+<item> s_volume </item>
+<item> s_wavonly </item>
+<item> samelevel </item>
+<item> saturatelighting </item>
+<item> saved1 </item>
+<item> saved2 </item>
+<item> saved3 </item>
+<item> saved4 </item>
+<item> savedgamecfg </item>
+<item> scr </item>
+<item> scr_centertime </item>
+<item> scr_consize </item>
+<item> scr_conspeed </item>
+<item> scr_drawall </item>
+<item> scr_ofsx </item>
+<item> scr_ofsy </item>
+<item> scr_ofsz </item>
+<item> scr_printspeed </item>
+<item> scr_showpause </item>
+<item> scr_showturtle </item>
+<item> scratch1 </item>
+<item> scratch2 </item>
+<item> scratch3 </item>
+<item> scratch4 </item>
+<item> screenshot </item>
+<item> select </item>
+<item> sensitivity </item>
+<item> separation </item>
+<item> server1 </item>
+<item> server10 </item>
+<item> server11 </item>
+<item> server12 </item>
+<item> server13 </item>
+<item> server14 </item>
+<item> server15 </item>
+<item> server16 </item>
+<item> server2 </item>
+<item> server3 </item>
+<item> server4 </item>
+<item> server5 </item>
+<item> server6 </item>
+<item> server7 </item>
+<item> server8 </item>
+<item> server9 </item>
+<item> serverprofile </item>
+<item> sex </item>
+<item> shadows </item>
+<item> show </item>
+<item> showclamp </item>
+<item> showdrop </item>
+<item> showmiss </item>
+<item> shownet </item>
+<item> showpackets </item>
+<item> showpause </item>
+<item> showram </item>
+<item> showtrace </item>
+<item> showtris </item>
+<item> showturtle </item>
+<item> side </item>
+<item> sidesensitivity </item>
+<item> sidespeed </item>
+<item> sidethreshold </item>
+<item> size </item>
+<item> skill </item>
+<item> skin </item>
+<item> skymip </item>
+<item> snaps </item>
+<item> snd_bits </item>
+<item> snd_device </item>
+<item> snd_interp </item>
+<item> snd_loadas8bit </item>
+<item> snd_mixahead </item>
+<item> snd_noextraupdate </item>
+<item> snd_oss_mmaped </item>
+<item> snd_output </item>
+<item> snd_phasesep </item>
+<item> snd_rate </item>
+<item> snd_render </item>
+<item> snd_show </item>
+<item> snd_stereo </item>
+<item> snd_volumesep </item>
+<item> sndbits </item>
+<item> sndchannels </item>
+<item> snddevice </item>
+<item> sndspeed </item>
+<item> software </item>
+<item> sounds </item>
+<item> spectator </item>
+<item> spectator_password </item>
+<item> speeds </item>
+<item> stats </item>
+<item> stereo </item>
+<item> stipplealpha </item>
+<item> surfcacheoverride </item>
+<item> sv </item>
+<item> sv_accelerate </item>
+<item> sv_aim </item>
+<item> sv_airaccelerate </item>
+<item> sv_allowAnonymous </item>
+<item> sv_allowDownload </item>
+<item> sv_cheats </item>
+<item> sv_enforcetime </item>
+<item> sv_floodProtect </item>
+<item> sv_fps </item>
+<item> sv_friction </item>
+<item> sv_gravity </item>
+<item> sv_hostname </item>
+<item> sv_idealpitchscale </item>
+<item> sv_keywords </item>
+<item> sv_killserver </item>
+<item> sv_mapChecksum </item>
+<item> sv_master1 </item>
+<item> sv_master2 </item>
+<item> sv_master3 </item>
+<item> sv_master4 </item>
+<item> sv_master5 </item>
+<item> sv_maxPing </item>
+<item> sv_maxRate </item>
+<item> sv_maxclients </item>
+<item> sv_maxrate </item>
+<item> sv_maxspeed </item>
+<item> sv_maxtic </item>
+<item> sv_maxvelocity </item>
+<item> sv_minPing </item>
+<item> sv_minqfversion </item>
+<item> sv_mintic </item>
+<item> sv_netdosprotect </item>
+<item> sv_noreload </item>
+<item> sv_nostep </item>
+<item> sv_onlyVisibleClients </item>
+<item> sv_padPackets </item>
+<item> sv_pakNames </item>
+<item> sv_paks </item>
+<item> sv_paused </item>
+<item> sv_phs </item>
+<item> sv_privateClients </item>
+<item> sv_privatePassword </item>
+<item> sv_progs </item>
+<item> sv_pure </item>
+<item> sv_reconnect_limit </item>
+<item> sv_reconnectlimit </item>
+<item> sv_referencedPakNames </item>
+<item> sv_referencedPaks </item>
+<item> sv_restartround </item>
+<item> sv_rollangle </item>
+<item> sv_rollspeed </item>
+<item> sv_running </item>
+<item> sv_serverid </item>
+<item> sv_showAverageBPS </item>
+<item> sv_showloss </item>
+<item> sv_spectalk </item>
+<item> sv_stopspeed </item>
+<item> sv_timefmt </item>
+<item> sv_timekick </item>
+<item> sv_timekick_fuzz </item>
+<item> sv_timekick_interval </item>
+<item> sv_timeout </item>
+<item> sv_timestamps </item>
+<item> sv_wateraccelerate </item>
+<item> sv_waterfriction </item>
+<item> sv_zombietime </item>
+<item> sw </item>
+<item> sw_allow_modex </item>
+<item> sw_clearcolor </item>
+<item> sw_drawflat </item>
+<item> sw_draworder </item>
+<item> sw_maxedges </item>
+<item> sw_maxsurfs </item>
+<item> sw_mipcap </item>
+<item> sw_mipscale </item>
+<item> sw_mode </item>
+<item> sw_polymodelstats </item>
+<item> sw_reportedgeout </item>
+<item> sw_reportsurfout </item>
+<item> sw_stipplealpha </item>
+<item> sw_surfcacheoverride </item>
+<item> sw_waterwarp </item>
+<item> swapinterval </item>
+<item> sys_cpustring </item>
+<item> sys_nostdout </item>
+<item> sys_sleep </item>
+<item> sys_ticrate </item>
+<item> team </item>
+<item> team_headmodel </item>
+<item> team_model </item>
+<item> teamplay </item>
+<item> teamtask </item>
+<item> temp1 </item>
+<item> testblend </item>
+<item> testentities </item>
+<item> testlights </item>
+<item> testparticles </item>
+<item> testsound </item>
+<item> texturealphamode </item>
+<item> texturemode </item>
+<item> texturesolidmode </item>
+<item> timedemo </item>
+<item> timegraph </item>
+<item> timelimit </item>
+<item> timeout </item>
+<item> timescale </item>
+<item> topcolor </item>
+<item> triplebuffer </item>
+<item> ttycon </item>
+<item> ui_Q3Model </item>
+<item> ui_actualNetGametype </item>
+<item> ui_bigFont </item>
+<item> ui_browserGameType </item>
+<item> ui_browserMaster </item>
+<item> ui_browserShowEmpty </item>
+<item> ui_browserShowFriendlyFire </item>
+<item> ui_browserShowFull </item>
+<item> ui_browserShowMaxlives </item>
+<item> ui_browserShowTourney </item>
+<item> ui_browserSortKey </item>
+<item> ui_cdkeychecked </item>
+<item> ui_class </item>
+<item> ui_cmd </item>
+<item> ui_ctf_capturelimit </item>
+<item> ui_ctf_friendly </item>
+<item> ui_ctf_timelimit </item>
+<item> ui_currentMap </item>
+<item> ui_currentNetMap </item>
+<item> ui_dedicated </item>
+<item> ui_ffa_fraglimit </item>
+<item> ui_ffa_timelimit </item>
+<item> ui_gametype </item>
+<item> ui_glCustom </item>
+<item> ui_isSpectator </item>
+<item> ui_joinGametype </item>
+<item> ui_limboMode </item>
+<item> ui_limboObjective </item>
+<item> ui_limboOptions </item>
+<item> ui_limboPrevOptions </item>
+<item> ui_mapIndex </item>
+<item> ui_master </item>
+<item> ui_menuFiles </item>
+<item> ui_mousePitch </item>
+<item> ui_netGametype </item>
+<item> ui_netSource </item>
+<item> ui_notebookCurrentPage </item>
+<item> ui_objective </item>
+<item> ui_prevClass </item>
+<item> ui_prevTeam </item>
+<item> ui_prevWeapon </item>
+<item> ui_serverStatusTimeOut </item>
+<item> ui_singlePlayerActive </item>
+<item> ui_smallFont </item>
+<item> ui_spSelection </item>
+<item> ui_team </item>
+<item> ui_teamArenaFirstRun </item>
+<item> ui_team_fraglimit </item>
+<item> ui_team_friendly </item>
+<item> ui_team_timelimit </item>
+<item> ui_tourney_fraglimit </item>
+<item> ui_tourney_timelimit </item>
+<item> ui_userAlliedRespawnTime </item>
+<item> ui_userAxisRespawnTime </item>
+<item> ui_userTimeLimit </item>
+<item> ui_weapon </item>
+<item> up </item>
+<item> upsensitivity </item>
+<item> upspeed </item>
+<item> upthreshold </item>
+<item> username </item>
+<item> v_centermove </item>
+<item> v_centerspeed </item>
+<item> v_idlescale </item>
+<item> v_ipitch_cycle </item>
+<item> v_ipitch_level </item>
+<item> v_iroll_cycle </item>
+<item> v_iroll_level </item>
+<item> v_iuaw_cycle </item>
+<item> v_iyaw_cycle </item>
+<item> v_iyaw_level </item>
+<item> v_kickpitch </item>
+<item> v_kickroll </item>
+<item> v_kicktime </item>
+<item> version </item>
+<item> vertex </item>
+<item> vid </item>
+<item> vid_config_x </item>
+<item> vid_config_y </item>
+<item> vid_fullscreen </item>
+<item> vid_fullscreen_mode </item>
+<item> vid_gamma </item>
+<item> vid_height </item>
+<item> vid_mode </item>
+<item> vid_nopageflip </item>
+<item> vid_ref </item>
+<item> vid_system_gamma </item>
+<item> vid_use8bit </item>
+<item> vid_wait </item>
+<item> vid_width </item>
+<item> vid_window_x </item>
+<item> vid_window_y </item>
+<item> vid_windowed_mode </item>
+<item> vid_xpos </item>
+<item> vid_ypos </item>
+<item> viewlog </item>
+<item> viewsize </item>
+<item> vm_cgame </item>
+<item> vm_game </item>
+<item> vm_ui </item>
+<item> volume </item>
+<item> vwep </item>
+<item> waitdelay </item>
+<item> waterwarp </item>
+<item> wavonly </item>
+<item> win </item>
+<item> win_noalttab </item>
+<item> win_hinstance </item>
+<item> win_wndproc </item>
+<item> xpos </item>
+<item> yaw </item>
+<item> yawsensitivity </item>
+<item> yawspeed </item>
+<item> yawthreshold </item>
+<item> ypos </item>
+<item> zombietime </item>
+<item> ztrick </item>
+</list>
+
+
+<list name="Actions">
+<item> +attack </item>
+<item> +ttack2 </item>
+<item> +alt1 </item>
+<item> +activate </item>
+<item> +back </item>
+<item> +break </item>
+<item> +button0 </item>
+<item> +button1 </item>
+<item> +button10 </item>
+<item> +button11 </item>
+<item> +button12 </item>
+<item> +button13 </item>
+<item> +button14 </item>
+<item> +button2 </item>
+<item> +button3 </item>
+<item> +button4 </item>
+<item> +button5 </item>
+<item> +button6 </item>
+<item> +button7 </item>
+<item> +button8 </item>
+<item> +button9 </item>
+<item> +camdistance </item>
+<item> +camin </item>
+<item> +cammousemove </item>
+<item> +camout </item>
+<item> +campitchdown </item>
+<item> +campitchup </item>
+<item> +camyawleft </item>
+<item> +camyawright </item>
+<item> +commandmenu </item>
+<item> +dropweapon </item>
+<item> +duck </item>
+<item> +forward </item>
+<item> +graph </item>
+<item> +jlook </item>
+<item> +jump </item>
+<item> +kick </item>
+<item> +klook </item>
+<item> +leanleft </item>
+<item> +leanright </item>
+<item> +left </item>
+<item> +lookdown </item>
+<item> +lookup </item>
+<item> +mlook </item>
+<item> +movedown </item>
+<item> +moveleft </item>
+<item> +moveright </item>
+<item> +moveup </item>
+<item> +nvgadjust </item>
+<item> +quickgren </item>
+<item> +reload </item>
+<item> +right </item>
+<item> +salute </item>
+<item> +score </item>
+<item> +showscores </item>
+<item> +speed </item>
+<item> +sprint </item>
+<item> +strafe </item>
+<item> +use </item>
+<item> +useitem </item>
+<item> +voicerecord </item>
+<item> +wbutton7 </item>
+<item> +zoom </item>
+<item> -activate </item>
+<item> -alt1 </item>
+<item> -attack </item>
+<item> -attack2 </item>
+<item> -back </item>
+<item> -break </item>
+<item> -button0 </item>
+<item> -button1 </item>
+<item> -button10 </item>
+<item> -button11 </item>
+<item> -button12 </item>
+<item> -button13 </item>
+<item> -button14 </item>
+<item> -button2 </item>
+<item> -button3 </item>
+<item> -button4 </item>
+<item> -button5 </item>
+<item> -button6 </item>
+<item> -button7 </item>
+<item> -button8 </item>
+<item> -button9 </item>
+<item> -camdistance </item>
+<item> -camin </item>
+<item> -cammousemove </item>
+<item> -camout </item>
+<item> -campitchdown </item>
+<item> -campitchup </item>
+<item> -camyawleft </item>
+<item> -camyawright </item>
+<item> -commandmenu </item>
+<item> -dropweapon </item>
+<item> -duck </item>
+<item> -forward </item>
+<item> -graph </item>
+<item> -jlook </item>
+<item> -jump </item>
+<item> -kick </item>
+<item> -klook </item>
+<item> -leanleft </item>
+<item> -leanright </item>
+<item> -left </item>
+<item> -lookdown </item>
+<item> -lookup </item>
+<item> -mlook </item>
+<item> -movedown </item>
+<item> -moveleft </item>
+<item> -moveright </item>
+<item> -moveup </item>
+<item> -nvgadjust </item>
+<item> -quickgren </item>
+<item> -reload </item>
+<item> -right </item>
+<item> -salute </item>
+<item> -score </item>
+<item> -showscores </item>
+<item> -speed </item>
+<item> -sprint </item>
+<item> -strafe </item>
+<item> -use </item>
+<item> -useitem </item>
+<item> -voicerecord </item>
+<item> -wbutton7 </item>
+<item> -zoom </item>
+</list>
+
+
+<list name="KeyTypes">
+<item> * </item>
+<item> [ </item>
+<item> ] </item>
+<item> \ </item>
+<item> / </item>
+<item> ' </item>
+<item> = </item>
+<item> - </item>
+<item> + </item>
+<item> , </item>
+<item> . </item>
+<item> ` </item>
+<item> ~ </item>
+<item> 1 </item>
+<item> 2 </item>
+<item> 3 </item>
+<item> 4 </item>
+<item> 5 </item>
+<item> 6 </item>
+<item> 7 </item>
+<item> 8 </item>
+<item> 9 </item>
+<item> 0 </item>
+<item> a </item>
+<item> b </item>
+<item> c </item>
+<item> d </item>
+<item> e </item>
+<item> f </item>
+<item> g </item>
+<item> h </item>
+<item> i </item>
+<item> j </item>
+<item> k </item>
+<item> l </item>
+<item> m </item>
+<item> n </item>
+<item> o </item>
+<item> p </item>
+<item> q </item>
+<item> r </item>
+<item> s </item>
+<item> t </item>
+<item> u </item>
+<item> v </item>
+<item> x </item>
+<item> w </item>
+<item> y </item>
+<item> z </item>
+<item> ALT </item>
+<item> AUX1 </item>
+<item> AUX10 </item>
+<item> AUX11 </item>
+<item> AUX12 </item>
+<item> AUX13 </item>
+<item> AUX14 </item>
+<item> AUX15 </item>
+<item> AUX16 </item>
+<item> AUX17 </item>
+<item> AUX18 </item>
+<item> AUX2 </item>
+<item> AUX20 </item>
+<item> AUX21 </item>
+<item> AUX22 </item>
+<item> AUX23 </item>
+<item> AUX24 </item>
+<item> AUX25 </item>
+<item> AUX26 </item>
+<item> AUX27 </item>
+<item> AUX28 </item>
+<item> AUX29 </item>
+<item> AUX3 </item>
+<item> AUX30 </item>
+<item> AUX31 </item>
+<item> AUX32 </item>
+<item> AUX4 </item>
+<item> AUX5 </item>
+<item> AUX6 </item>
+<item> AUX7 </item>
+<item> AUX8 </item>
+<item> AUX9 </item>
+<item> BACKSPACE </item>
+<item> CTRL </item>
+<item> DEL </item>
+<item> DOWNARROW </item>
+<item> END </item>
+<item> ENTER </item>
+<item> ESCAPE </item>
+<item> F1 </item>
+<item> F10 </item>
+<item> F11 </item>
+<item> F12 </item>
+<item> F2 </item>
+<item> F3 </item>
+<item> F4 </item>
+<item> F5 </item>
+<item> F6 </item>
+<item> F7 </item>
+<item> F8 </item>
+<item> F9 </item>
+<item> HOME </item>
+<item> INS </item>
+<item> JOY1 </item>
+<item> JOY2 </item>
+<item> JOY3 </item>
+<item> JOY4 </item>
+<item> KP_SLASH </item>
+<item> KP_5 </item>
+<item> KP_UPARROW </item>
+<item> KP_LEFTARROW </item>
+<item> KP_RIGHTARROW </item>
+<item> KP_DOWNARROW </item>
+<item> KP_HOME </item>
+<item> KP_END </item>
+<item> KP_PGUP </item>
+<item> KP_PGDN </item>
+<item> KP_INS </item>
+<item> KP_DEL </item>
+<item> LEFTARROW </item>
+<item> MOUSE1 </item>
+<item> MOUSE2 </item>
+<item> MOUSE3 </item>
+<item> MWHEELDOWN </item>
+<item> MWHEELUP </item>
+<item> PAUSE </item>
+<item> PGDN </item>
+<item> PGUP </item>
+<item> RIGHTARROW </item>
+<item> SEMICOLON </item>
+<item> CAPSLOCK </item>
+<item> SHIFT </item>
+<item> SPACE </item>
+<item> TAB </item>
+<item> UPARROW </item>
+</list>
+
+ <list name="Symbols">
+ <item> ; </item>
+ <item> $ </item>
+ </list>
+ <list name="BindFamily">
+ <item> bind </item>
+ <item> unbind </item>
+ </list>
+ <list name="setFamily">
+ <item> set </item>
+ <item> seta </item>
+ <item> setu </item>
+ <item> sets </item>
+ </list>
+ <list name="PrintsString">
+ <item> echo </item>
+ <item> say_team </item>
+ <item> say </item>
+ </list>
+
+ <contexts>
+ <context attribute="3" lineEndContext="#stay" name="Normal Text">
+ <keyword attribute="0" context="Arg area" String="Commands"/>
+ <keyword attribute="2" context="Arg area" String="Variables"/>
+ <keyword attribute="1" context="#stay" String="Actions"/>
+ <keyword attribute="10" context="#stay" String="Symbols"/>
+ <keyword attribute="0" context="Bind phrase" String="BindFamily"/>
+ <keyword attribute="0" context="Alias phrase" String="setFamily"/>
+ <keyword attribute="0" context="Echo" String="PrintsString"/>
+ <StringDetect attribute="0" context="Alias phrase" String="alias" insensitive="TRUE"/>
+ <keyword attribute="0" context="Echo" String="PrintsString"/>
+ <DetectChar attribute="10" context="#stay" char="$"/>
+ <Detect2Chars attribute="4" context="Comment" char="/" char1="/"/>
+ </context>
+ <context attribute="4" lineEndContext="Normal Text" name="Comment"/>
+ <context attribute="7" lineEndContext="Normal Text" name="String">
+ <DetectChar attribute="7" context="#pop" char="&quot;"/>
+ </context>
+ <context attribute="3" lineEndContext="Normal Text" name="Arg area">
+ <DetectChar attribute="7" context="String" char="&quot;"/>
+ <Float attribute="5" context="#stay"/>
+ <Int attribute="6" context="#stay"/>
+ <DetectChar attribute="10" context="#pop" char=";"/>
+ <Detect2Chars attribute="4" context="Comment" char="/" char1="/"/>
+ <DetectChar attribute="10" context="Normal Text" char="$"/>
+ </context>
+ <context attribute="3" lineEndContext="Normal Text" name="Arg area in sub phrase">
+ <Float attribute="5" context="#stay"/>
+ <Int attribute="6" context="#stay"/>
+ <Detect2Chars attribute="4" context="Comment" char="/" char1="/"/>
+ <DetectChar attribute="10" context="#pop" char=";"/>
+ </context>
+ <context attribute="3" lineEndContext="Normal Text" name="Sub phrase">
+ <keyword attribute="0" context="Arg area in sub phrase" String="Commands"/>
+ <keyword attribute="2" context="Arg area in sub phrase" String="Variables"/>
+ <keyword attribute="1" context="#stay" String="Actions"/>
+ <keyword attribute="10" context="#stay" String="Symbols"/>
+ <keyword attribute="0" context="Bind phrase" String="BindFamily"/>
+ <keyword attribute="0" context="Normal Text" String="setFamily"/>
+ <keyword attribute="0" context="Echo" String="PrintsString"/>
+ <StringDetect attribute="0" context="Alias phrase" String="alias"/>
+ <Detect2Chars attribute="4" context="Comment" char="/" char1="/"/>
+ <DetectChar attribute="10" context="#stay" char=";"/>
+ <Int attribute="6" context="#stay"/>
+ <DetectChar attribute="10" context="#pop" char=";"/>
+ </context>
+ <context attribute="3" lineEndContext="Normal Text" name="Bind phrase">
+ <keyword attribute="9" context="Sub phrase" String="KeyTypes"/>
+ </context>
+ <context attribute="11" lineEndContext="Normal Text" name="Alias phrase">
+ <DetectChar attribute="11" context="Sub phrase" char=" "/>
+ </context>
+ <context attribute="7" lineEndContext="Normal Text" name="Echo">
+ <DetectChar attribute="10" context="#pop" char=";"/>
+ <Detect2Chars attribute="4" context="Comment" char="/" char1="/"/>
+ </context>
+ </contexts>
+ <itemDatas>
+ <itemData name="Command" defStyleNum="dsKeyword" />
+ <itemData name="Action" defStyleNum="dsNormal" color="#ffcc00" italic="1" selColor="#00ff00" bold="1" />
+ <itemData name="Variable" defStyleNum="dsNormal" color="#0000ff" italic="1" selColor="#00ff00" bold="1" />
+ <itemData name="Normal Text" defStyleNum="dsNormal" />
+ <itemData name="Comment" defStyleNum="dsComment" />
+ <itemData name="Float" defStyleNum="dsFloat" />
+ <itemData name="Int" defStyleNum="dsFloat" />
+ <itemData name="String" defStyleNum="dsNormal" color="#ff0000" italic="1" selColor="#00ff00" bold="0" />
+ <itemData name="String Char" defStyleNum="dsChar" />
+ <itemData name="Hex" defStyleNum="dsBaseN" />
+ <itemData name="Symbol" defStyleNum="dsOthers" />
+ <itemData name="Identifier" defStyleNum="dsDataType" />
+ </itemDatas>
+ </highlighting>
+ <general>
+ <comments>
+ <comment name="singleLine" start="//"/>
+ </comments>
+ <keywords weakDeliminator=",+~-=/\.[]$" additionalDeliminator="&quot;;$" casesensitive="0"/>
+ </general>
+</language>
diff --git a/share/tinykate/syntax/ilerpg.xml b/share/tinykate/syntax/ilerpg.xml
new file mode 100644
index 0000000..c608396
--- a/dev/null
+++ b/share/tinykate/syntax/ilerpg.xml
@@ -0,0 +1,269 @@
+<!DOCTYPE language SYSTEM "language.dtd">
+<language name="ILERPG" version="1.00" kateversion="2.0" section="Sources" extensions="QRPGLESRC.*" mimetype="text/x-ilerpg-src" casesensitive="0">
+ <highlighting>
+ <list name="biffs">
+ <item> ABS </item>
+ <item> ADDR </item>
+ <item> CHAR </item>
+ <item> DECH </item>
+ <item> DECPOS </item>
+ <item> DEC </item>
+ <item> EDITC </item>
+ <item> EDITFLT </item>
+ <item> EDITW </item>
+ <item> ELEM </item>
+ <item> EOF </item>
+ <item> EQUAL </item>
+ <item> ERROR </item>
+ <item> FLOAT </item>
+ <item> FOUND </item>
+ <item> INTH </item>
+ <item> INT </item>
+ <item> LEN </item>
+ <item> NULLIND </item>
+ <item> OPEN </item>
+ <item> PADDR </item>
+ <item> PARMS </item>
+ <item> REPLACE </item>
+ <item> SCAN </item>
+ <item> SIZE </item>
+ <item> STATUS </item>
+ <item> STR </item>
+ <item> SUBST </item>
+ <item> TRIMR </item>
+ <item> TRIML </item>
+ <item> TRIM </item>
+ <item> UNSH </item>
+ <item> UNS </item>
+ </list>
+ <list name="opcodes">
+ <item> Z-ADD </item>
+ <item> Z-SUB </item>
+ <item> ACQ </item>
+ <item> ADDDUR </item>
+ <item> ADD </item>
+ <item> ALLOC </item>
+ <item> ANDGT </item>
+ <item> ANDLT </item>
+ <item> ANDEQ </item>
+ <item> ANDNE </item>
+ <item> ANDGE </item>
+ <item> ANDLE </item>
+ <item> AND </item>
+ <item> BEGSR </item>
+ <item> BITOFF </item>
+ <item> BITON </item>
+ <item> CABGT </item>
+ <item> CABLT </item>
+ <item> CABEQ </item>
+ <item> CABNE </item>
+ <item> CABGE </item>
+ <item> CABLE </item>
+ <item> CAB </item>
+ <item> CALLB </item>
+ <item> CALLP </item>
+ <item> CALL </item>
+ <item> CASGT </item>
+ <item> CASLT </item>
+ <item> CASEQ </item>
+ <item> CASNE </item>
+ <item> CASGE </item>
+ <item> CASLE </item>
+ <item> CAS </item>
+ <item> CAT </item>
+ <item> CHAIN </item>
+ <item> CHECKR </item>
+ <item> CHECK </item>
+ <item> CLEAR </item>
+ <item> CLOSE </item>
+ <item> COMMIT </item>
+ <item> COMP </item>
+ <item> DEALLOC </item>
+ <item> DEFINE </item>
+ <item> DELETE </item>
+ <item> DIV </item>
+ <item> DOWGT </item>
+ <item> DOWLT </item>
+ <item> DOWEQ </item>
+ <item> DOWNE </item>
+ <item> DOWGE </item>
+ <item> DOWLE </item>
+ <item> DOUGT </item>
+ <item> DOULT </item>
+ <item> DOUEQ </item>
+ <item> DOUNE </item>
+ <item> DOUGE </item>
+ <item> DOULE </item>
+ <item> DOU </item>
+ <item> DOW </item>
+ <item> DO </item>
+ <item> DSPLY </item>
+ <item> DUMP </item>
+ <item> ELSE </item>
+ <item> ENDCS </item>
+ <item> ENDDO </item>
+ <item> ENDIF </item>
+ <item> ENDSL </item>
+ <item> ENDSR </item>
+ <item> END </item>
+ <item> EVAL </item>
+ <item> EXCEPT </item>
+ <item> EXFMT </item>
+ <item> EXSR </item>
+ <item> EXTRCT </item>
+ <item> FEOD </item>
+ <item> FORCE </item>
+ <item> GOTO </item>
+ <item> IFGT </item>
+ <item> IFLT </item>
+ <item> IFEQ </item>
+ <item> IFNE </item>
+ <item> IFGE </item>
+ <item> IFLE </item>
+ <item> IF </item>
+ <item> IN </item>
+ <item> ITER </item>
+ <item> KFLD </item>
+ <item> KLIST </item>
+ <item> LEAVE </item>
+ <item> LOOKUP </item>
+ <item> MHHZO </item>
+ <item> MHLZO </item>
+ <item> MLHZO </item>
+ <item> MLLZO </item>
+ <item> MOVE </item>
+ <item> MOVEA </item>
+ <item> MOVEL </item>
+ <item> MULT </item>
+ <item> MVR </item>
+ <item> NEXT </item>
+ <item> OCCUR </item>
+ <item> OPEN </item>
+ <item> ORGT </item>
+ <item> ORLT </item>
+ <item> OREQ </item>
+ <item> ORNE </item>
+ <item> ORGE </item>
+ <item> ORLE </item>
+ <item> OR </item>
+ <item> OTHER </item>
+ <item> OUT </item>
+ <item> PARM </item>
+ <item> PLIST </item>
+ <item> POST </item>
+ <item> READC </item>
+ <item> READE </item>
+ <item> READPE </item>
+ <item> READP </item>
+ <item> READ </item>
+ <item> REALLOC </item>
+ <item> REL </item>
+ <item> RESET </item>
+ <item> RETURN </item>
+ <item> ROLBK </item>
+ <item> SCAN </item>
+ <item> SELECT </item>
+ <item> SETGT </item>
+ <item> SETLL </item>
+ <item> SETOFF </item>
+ <item> SETON </item>
+ <item> SHTDN </item>
+ <item> SORTA </item>
+ <item> SQRT </item>
+ <item> SUBDUR </item>
+ <item> SUBST </item>
+ <item> SUB </item>
+ <item> TAG </item>
+ <item> TESTB </item>
+ <item> TESTN </item>
+ <item> TESTZ </item>
+ <item> TEST </item>
+ <item> TIME </item>
+ <item> UNLOCK </item>
+ <item> UPDATE </item>
+ <item> WHENGT </item>
+ <item> WHENLT </item>
+ <item> WHENEQ </item>
+ <item> WHENNR </item>
+ <item> WHENGE </item>
+ <item> WHENLE </item>
+ <item> WHEN </item>
+ <item> WRITE </item>
+ <item> XFOOT </item>
+ <item> XLATE </item>
+ <item> *BLANKS </item>
+ </list>
+
+ <contexts>
+ <context attribute="Normal Text" lineEndContext="#stay">
+ <RegExpr attribute="Comment" context="2" String="[POIHFDC ]?\*"/>
+ <RegExpr attribute="Keyword" context="1" String="[POIHFDC]"/>
+ </context>
+ <context attribute="Normal Text" lineEndContext="#pop">
+ <keyword attribute="Keyword" context="#stay" String="opcodes"/>
+ <DetectChar attribute="Biff" context="7" char="%"/>
+ <DetectChar attribute="Constant" context="3" char="'"/>
+ <Float attribute="Float" context="#stay"/>
+ <RegExpr attribute="Hex" context="#stay" String="[Xx]'[0-9|a-f|A-f]{2,}'"/>
+
+ <Int attribute="Decimal" context="#stay" >
+ <StringDetect attribute="Decimal" context="#stay" String="ULL" insensitive="TRUE"/>
+ <StringDetect attribute="Decimal" context="#stay" String="LUL" insensitive="TRUE"/>
+ <StringDetect attribute="Decimal" context="#stay" String="LLU" insensitive="TRUE"/>
+ <StringDetect attribute="Decimal" context="#stay" String="UL" insensitive="TRUE"/>
+ <StringDetect attribute="Decimal" context="#stay" String="LU" insensitive="TRUE"/>
+ <StringDetect attribute="Decimal" context="#stay" String="LL" insensitive="TRUE"/>
+ <StringDetect attribute="Decimal" context="#stay" String="U" insensitive="TRUE"/>
+ <StringDetect attribute="Decimal" context="#stay" String="L" insensitive="TRUE"/>
+ </Int>
+ </context>
+ <context attribute="Comment" lineEndContext="#pop">
+ <RegExpr attribute="BoldComment" context="#stay" String="\(*(FIXME|TODO)\)*" />
+ <RegExpr attribute="BoldComment" context="#stay" String="\(*(NOTE:)\)*" />
+ <DetectChar attribute="BoldComment" context="6" char="!"/>
+ <RegExpr attribute="DivideComment" context="#stay" String="-|="/>
+ </context>
+ <context attribute="Constant" lineEndContext="4">
+ <DetectChar attribute="Constant" context="#pop" char="'"/>
+ </context>
+ <context attribute="Constant" lineEndContext="#stay">
+ <RegExpr attribute="Normal Text" context="#stay" String="[FHDICO]"/>
+ <DetectChar attribute="Constant" context="#pop" char=" "/>
+ </context>
+ <context attribute="Keyword" lineEndContext="#pop#pop">
+ </context>
+ <context attribute="BoldComment" lineEndContext="0">
+ <DetectChar attribute="BoldComment" context="2" char="!"/>
+ </context>
+ <context attribute="Biff" lineEndContext="#pop#pop#pop">
+ <keyword attribute="Biff" context="#pop#pop" String="biffs"/>
+ <DetectChar attribute="Biff" context="#pop#pop" char=" "/>
+ </context>
+ </contexts>
+ <itemDatas>
+ <itemData name="Normal Text" defStyleNum="dsNormal" />
+ <itemData name="Keyword" defStyleNum="dsKeyword" />
+ <itemData name="Biff" defStyleNum="dsKeyword" />
+ <itemData name="RegExpr" defStyleNum="dsBaseN" />
+ <itemData name="Symbol" defStyleNum="dsNormal"/>
+ <itemData name="Decimal" defStyleNum="dsDecVal" />
+ <itemData name="Float" defStyleNum="dsFloat"/>
+ <itemData name="Octal" defStyleNum="dsBaseN"/>
+ <itemData name="Hex" defStyleNum="dsBaseN"/>
+ <itemData name="Float" defStyleNum="dsFloat"/>
+ <itemData name="Base-N" defStyleNum="dsBaseN" />
+ <itemData name="Float" defStyleNum="dsFloat" />
+ <itemData name="Char" defStyleNum="dsChar" />
+ <itemData name="Constant" defStyleNum="dsNormal" color="#FF0000" selColor="#000000" bold="0" italic="0"/>
+ <itemData name="Fill" defStyleNum="dsNormal" color="#FF6347" selColor="#000000" bold="0" italic="0"/>
+ <itemData name="Comment" defStyleNum="dsComment"/>
+ <itemData name="BoldComment" defStyleNum="dsNormal" color="#CD853F" selColor="#808080" bold="1" italic="1"/>
+ <itemData name="DivideComment" defStyleNum="dsComment" bold="1"/>
+ <itemData name="Directive" defStyleNum="dsOthers"/>
+ </itemDatas>
+ </highlighting>
+ <general>
+ <keywords casesensitive="0" />
+ </general>
+</language>
+
diff --git a/share/tinykate/syntax/java.xml b/share/tinykate/syntax/java.xml
new file mode 100644
index 0000000..3e09f82
--- a/dev/null
+++ b/share/tinykate/syntax/java.xml
@@ -0,0 +1,1946 @@
+<?xml version="1.0" encoding="UTF-8"?>
+<!DOCTYPE language SYSTEM "language.dtd">
+<language name="Java" version="1.00" kateversion="2.0" section="Sources" extensions="*.java" mimetype="text/x-java">
+ <highlighting>
+
+ <list name="java13">
+ <item> AbstractAction </item>
+ <item> AbstractBorder </item>
+ <item> AbstractButton </item>
+ <item> AbstractCellEditor </item>
+ <item> AbstractCollection </item>
+ <item> AbstractColorChooserPanel </item>
+ <item> AbstractDocument </item>
+ <item> AbstractDocument.AttributeContext </item>
+ <item> AbstractDocument.Content </item>
+ <item> AbstractDocument.ElementEdit </item>
+ <item> AbstractLayoutCache </item>
+ <item> AbstractLayoutCache.NodeDimensions </item>
+ <item> AbstractList </item>
+ <item> AbstractListModel </item>
+ <item> AbstractMap </item>
+ <item> AbstractMethodError </item>
+ <item> AbstractSequentialList </item>
+ <item> AbstractSet </item>
+ <item> AbstractTableModel </item>
+ <item> AbstractUndoableEdit </item>
+ <item> AbstractWriter </item>
+ <item> AccessControlContext </item>
+ <item> AccessControlException </item>
+ <item> AccessController </item>
+ <item> AccessException </item>
+ <item> Accessible </item>
+ <item> AccessibleAction </item>
+ <item> AccessibleBundle </item>
+ <item> AccessibleComponent </item>
+ <item> AccessibleContext </item>
+ <item> AccessibleHyperlink </item>
+ <item> AccessibleHypertext </item>
+ <item> AccessibleIcon </item>
+ <item> AccessibleObject </item>
+ <item> AccessibleRelation </item>
+ <item> AccessibleRelationSet </item>
+ <item> AccessibleResourceBundle </item>
+ <item> AccessibleRole </item>
+ <item> AccessibleSelection </item>
+ <item> AccessibleState </item>
+ <item> AccessibleStateSet </item>
+ <item> AccessibleTable </item>
+ <item> AccessibleTableModelChange </item>
+ <item> AccessibleText </item>
+ <item> AccessibleValue </item>
+ <item> Acl </item>
+ <item> AclEntry </item>
+ <item> AclNotFoundException </item>
+ <item> Action </item>
+ <item> ActionEvent </item>
+ <item> ActionListener </item>
+ <item> ActionMap </item>
+ <item> ActionMapUIResource </item>
+ <item> Activatable </item>
+ <item> ActivateFailedException </item>
+ <item> ActivationDesc </item>
+ <item> ActivationException </item>
+ <item> ActivationGroup </item>
+ <item> ActivationGroupDesc </item>
+ <item> ActivationGroupDesc.CommandEnvironment </item>
+ <item> ActivationGroupID </item>
+ <item> ActivationID </item>
+ <item> ActivationInstantiator </item>
+ <item> ActivationMonitor </item>
+ <item> ActivationSystem </item>
+ <item> Activator </item>
+ <item> ActiveEvent </item>
+ <item> Adjustable </item>
+ <item> AdjustmentEvent </item>
+ <item> AdjustmentListener </item>
+ <item> Adler32 </item>
+ <item> AffineTransform </item>
+ <item> AffineTransformOp </item>
+ <item> AlgorithmParameterGenerator </item>
+ <item> AlgorithmParameterGeneratorSpi </item>
+ <item> AlgorithmParameters </item>
+ <item> AlgorithmParameterSpec </item>
+ <item> AlgorithmParametersSpi </item>
+ <item> AllPermission </item>
+ <item> AlphaComposite </item>
+ <item> AlreadyBound </item>
+ <item> AlreadyBoundException </item>
+ <item> AlreadyBoundHelper </item>
+ <item> AlreadyBoundHolder </item>
+ <item> AncestorEvent </item>
+ <item> AncestorListener </item>
+ <item> Annotation </item>
+ <item> Any </item>
+ <item> AnyHolder </item>
+ <item> AnySeqHelper </item>
+ <item> AnySeqHolder </item>
+ <item> Applet </item>
+ <item> AppletContext </item>
+ <item> AppletInitializer </item>
+ <item> AppletStub </item>
+ <item> ApplicationException </item>
+ <item> Arc2D </item>
+ <item> Arc2D.Double </item>
+ <item> Arc2D.Float </item>
+ <item> Area </item>
+ <item> AreaAveragingScaleFilter </item>
+ <item> ARG_IN </item>
+ <item> ARG_INOUT </item>
+ <item> ARG_OUT </item>
+ <item> ArithmeticException </item>
+ <item> Array </item>
+ <item> ArrayIndexOutOfBoundsException </item>
+ <item> ArrayList </item>
+ <item> Arrays </item>
+ <item> ArrayStoreException </item>
+ <item> AsyncBoxView </item>
+ <item> Attribute </item>
+ <item> AttributedCharacterIterator </item>
+ <item> AttributedCharacterIterator.Attribute </item>
+ <item> AttributedString </item>
+ <item> AttributeInUseException </item>
+ <item> AttributeList </item>
+ <item> AttributeModificationException </item>
+ <item> Attributes </item>
+ <item> Attributes </item>
+ <item> Attributes.Name </item>
+ <item> AttributeSet </item>
+ <item> AttributeSet.CharacterAttribute </item>
+ <item> AttributeSet.ColorAttribute </item>
+ <item> AttributeSet.FontAttribute </item>
+ <item> AttributeSet.ParagraphAttribute </item>
+ <item> AudioClip </item>
+ <item> AudioFileFormat </item>
+ <item> AudioFileFormat.Type </item>
+ <item> AudioFileReader </item>
+ <item> AudioFileWriter </item>
+ <item> AudioFormat </item>
+ <item> AudioFormat.Encoding </item>
+ <item> AudioInputStream </item>
+ <item> AudioPermission </item>
+ <item> AudioSystem </item>
+ <item> AuthenticationException </item>
+ <item> AuthenticationNotSupportedException </item>
+ <item> Authenticator </item>
+ <item> Autoscroll </item>
+ <item> AWTError </item>
+ <item> AWTEvent </item>
+ <item> AWTEventListener </item>
+ <item> AWTEventMulticaster </item>
+ <item> AWTException </item>
+ <item> AWTPermission </item>
+ <item> BAD_CONTEXT </item>
+ <item> BAD_INV_ORDER </item>
+ <item> BAD_OPERATION </item>
+ <item> BAD_PARAM </item>
+ <item> BAD_POLICY </item>
+ <item> BAD_POLICY_TYPE </item>
+ <item> BAD_POLICY_VALUE </item>
+ <item> BAD_TYPECODE </item>
+ <item> BadKind </item>
+ <item> BadLocationException </item>
+ <item> BandCombineOp </item>
+ <item> BandedSampleModel </item>
+ <item> BasicArrowButton </item>
+ <item> BasicAttribute </item>
+ <item> BasicAttributes </item>
+ <item> BasicBorders </item>
+ <item> BasicBorders.ButtonBorder </item>
+ <item> BasicBorders.FieldBorder </item>
+ <item> BasicBorders.MarginBorder </item>
+ <item> BasicBorders.MenuBarBorder </item>
+ <item> BasicBorders.RadioButtonBorder </item>
+ <item> BasicBorders.SplitPaneBorder </item>
+ <item> BasicBorders.ToggleButtonBorder </item>
+ <item> BasicButtonListener </item>
+ <item> BasicButtonUI </item>
+ <item> BasicCheckBoxMenuItemUI </item>
+ <item> BasicCheckBoxUI </item>
+ <item> BasicColorChooserUI </item>
+ <item> BasicComboBoxEditor </item>
+ <item> BasicComboBoxEditor.UIResource </item>
+ <item> BasicComboBoxRenderer </item>
+ <item> BasicComboBoxRenderer.UIResource </item>
+ <item> BasicComboBoxUI </item>
+ <item> BasicComboPopup </item>
+ <item> BasicDesktopIconUI </item>
+ <item> BasicDesktopPaneUI </item>
+ <item> BasicDirectoryModel </item>
+ <item> BasicEditorPaneUI </item>
+ <item> BasicFileChooserUI </item>
+ <item> BasicGraphicsUtils </item>
+ <item> BasicHTML </item>
+ <item> BasicIconFactory </item>
+ <item> BasicInternalFrameTitlePane </item>
+ <item> BasicInternalFrameUI </item>
+ <item> BasicLabelUI </item>
+ <item> BasicListUI </item>
+ <item> BasicLookAndFeel </item>
+ <item> BasicMenuBarUI </item>
+ <item> BasicMenuItemUI </item>
+ <item> BasicMenuUI </item>
+ <item> BasicOptionPaneUI </item>
+ <item> BasicOptionPaneUI.ButtonAreaLayout </item>
+ <item> BasicPanelUI </item>
+ <item> BasicPasswordFieldUI </item>
+ <item> BasicPermission </item>
+ <item> BasicPopupMenuSeparatorUI </item>
+ <item> BasicPopupMenuUI </item>
+ <item> BasicProgressBarUI </item>
+ <item> BasicRadioButtonMenuItemUI </item>
+ <item> BasicRadioButtonUI </item>
+ <item> BasicRootPaneUI </item>
+ <item> BasicScrollBarUI </item>
+ <item> BasicScrollPaneUI </item>
+ <item> BasicSeparatorUI </item>
+ <item> BasicSliderUI </item>
+ <item> BasicSplitPaneDivider </item>
+ <item> BasicSplitPaneUI </item>
+ <item> BasicStroke </item>
+ <item> BasicTabbedPaneUI </item>
+ <item> BasicTableHeaderUI </item>
+ <item> BasicTableUI </item>
+ <item> BasicTextAreaUI </item>
+ <item> BasicTextFieldUI </item>
+ <item> BasicTextPaneUI </item>
+ <item> BasicTextUI </item>
+ <item> BasicTextUI.BasicCaret </item>
+ <item> BasicTextUI.BasicHighlighter </item>
+ <item> BasicToggleButtonUI </item>
+ <item> BasicToolBarSeparatorUI </item>
+ <item> BasicToolBarUI </item>
+ <item> BasicToolTipUI </item>
+ <item> BasicTreeUI </item>
+ <item> BasicViewportUI </item>
+ <item> BatchUpdateException </item>
+ <item> BeanContext </item>
+ <item> BeanContextChild </item>
+ <item> BeanContextChildComponentProxy </item>
+ <item> BeanContextChildSupport </item>
+ <item> BeanContextContainerProxy </item>
+ <item> BeanContextEvent </item>
+ <item> BeanContextMembershipEvent </item>
+ <item> BeanContextMembershipListener </item>
+ <item> BeanContextProxy </item>
+ <item> BeanContextServiceAvailableEvent </item>
+ <item> BeanContextServiceProvider </item>
+ <item> BeanContextServiceProviderBeanInfo </item>
+ <item> BeanContextServiceRevokedEvent </item>
+ <item> BeanContextServiceRevokedListener </item>
+ <item> BeanContextServices </item>
+ <item> BeanContextServicesListener </item>
+ <item> BeanContextServicesSupport </item>
+ <item> BeanContextServicesSupport.BCSSServiceProvider </item>
+ <item> BeanContextSupport </item>
+ <item> BeanContextSupport.BCSIterator </item>
+ <item> BeanDescriptor </item>
+ <item> BeanInfo </item>
+ <item> Beans </item>
+ <item> BevelBorder </item>
+ <item> BigDecimal </item>
+ <item> BigInteger </item>
+ <item> BinaryRefAddr </item>
+ <item> BindException </item>
+ <item> Binding </item>
+ <item> Binding </item>
+ <item> BindingHelper </item>
+ <item> BindingHolder </item>
+ <item> BindingIterator </item>
+ <item> BindingIteratorHelper </item>
+ <item> BindingIteratorHolder </item>
+ <item> BindingIteratorOperations </item>
+ <item> BindingListHelper </item>
+ <item> BindingListHolder </item>
+ <item> BindingType </item>
+ <item> BindingTypeHelper </item>
+ <item> BindingTypeHolder </item>
+ <item> BitSet </item>
+ <item> Blob </item>
+ <item> BlockView </item>
+ <item> Book </item>
+ <item> Boolean </item>
+ <item> BooleanControl </item>
+ <item> BooleanControl.Type </item>
+ <item> BooleanHolder </item>
+ <item> BooleanSeqHelper </item>
+ <item> BooleanSeqHolder </item>
+ <item> Border </item>
+ <item> BorderFactory </item>
+ <item> BorderLayout </item>
+ <item> BorderUIResource </item>
+ <item> BorderUIResource.BevelBorderUIResource </item>
+ <item> BorderUIResource.CompoundBorderUIResource </item>
+ <item> BorderUIResource.EmptyBorderUIResource </item>
+ <item> BorderUIResource.EtchedBorderUIResource </item>
+ <item> BorderUIResource.LineBorderUIResource </item>
+ <item> BorderUIResource.MatteBorderUIResource </item>
+ <item> BorderUIResource.TitledBorderUIResource </item>
+ <item> BoundedRangeModel </item>
+ <item> Bounds </item>
+ <item> Box </item>
+ <item> Box.Filler </item>
+ <item> BoxedValueHelper </item>
+ <item> BoxLayout </item>
+ <item> BoxView </item>
+ <item> BreakIterator </item>
+ <item> BufferedImage </item>
+ <item> BufferedImageFilter </item>
+ <item> BufferedImageOp </item>
+ <item> BufferedInputStream </item>
+ <item> BufferedOutputStream </item>
+ <item> BufferedReader </item>
+ <item> BufferedWriter </item>
+ <item> Button </item>
+ <item> ButtonGroup </item>
+ <item> ButtonModel </item>
+ <item> ButtonUI </item>
+ <item> Byte </item>
+ <item> ByteArrayInputStream </item>
+ <item> ByteArrayOutputStream </item>
+ <item> ByteHolder </item>
+ <item> ByteLookupTable </item>
+ <item> Calendar </item>
+ <item> CallableStatement </item>
+ <item> CannotProceed </item>
+ <item> CannotProceedException </item>
+ <item> CannotProceedHelper </item>
+ <item> CannotProceedHolder </item>
+ <item> CannotRedoException </item>
+ <item> CannotUndoException </item>
+ <item> Canvas </item>
+ <item> CardLayout </item>
+ <item> Caret </item>
+ <item> CaretEvent </item>
+ <item> CaretListener </item>
+ <item> CellEditor </item>
+ <item> CellEditorListener </item>
+ <item> CellRendererPane </item>
+ <item> Certificate </item>
+ <item> Certificate </item>
+ <item> Certificate.CertificateRep </item>
+ <item> CertificateEncodingException </item>
+ <item> CertificateException </item>
+ <item> CertificateExpiredException </item>
+ <item> CertificateFactory </item>
+ <item> CertificateFactorySpi </item>
+ <item> CertificateNotYetValidException </item>
+ <item> CertificateParsingException </item>
+ <item> ChangedCharSetException </item>
+ <item> ChangeEvent </item>
+ <item> ChangeListener </item>
+ <item> Character </item>
+ <item> Character.Subset </item>
+ <item> Character.UnicodeBlock </item>
+ <item> CharacterIterator </item>
+ <item> CharArrayReader </item>
+ <item> CharArrayWriter </item>
+ <item> CharConversionException </item>
+ <item> CharHolder </item>
+ <item> CharSeqHelper </item>
+ <item> CharSeqHolder </item>
+ <item> Checkbox </item>
+ <item> CheckboxGroup </item>
+ <item> CheckboxMenuItem </item>
+ <item> CheckedInputStream </item>
+ <item> CheckedOutputStream </item>
+ <item> Checksum </item>
+ <item> Choice </item>
+ <item> ChoiceFormat </item>
+ <item> Class </item>
+ <item> ClassCastException </item>
+ <item> ClassCircularityError </item>
+ <item> ClassDesc </item>
+ <item> ClassFormatError </item>
+ <item> ClassLoader </item>
+ <item> ClassNotFoundException </item>
+ <item> Clip </item>
+ <item> Clipboard </item>
+ <item> ClipboardOwner </item>
+ <item> Clob </item>
+ <item> Cloneable </item>
+ <item> CloneNotSupportedException </item>
+ <item> CMMException </item>
+ <item> CodeSource </item>
+ <item> CollationElementIterator </item>
+ <item> CollationKey </item>
+ <item> Collator </item>
+ <item> Collection </item>
+ <item> Collections </item>
+ <item> Color </item>
+ <item> ColorChooserComponentFactory </item>
+ <item> ColorChooserUI </item>
+ <item> ColorConvertOp </item>
+ <item> ColorModel </item>
+ <item> ColorSelectionModel </item>
+ <item> ColorSpace </item>
+ <item> ColorUIResource </item>
+ <item> ComboBoxEditor </item>
+ <item> ComboBoxModel </item>
+ <item> ComboBoxUI </item>
+ <item> ComboPopup </item>
+ <item> COMM_FAILURE </item>
+ <item> CommunicationException </item>
+ <item> Comparable </item>
+ <item> Comparator </item>
+ <item> Compiler </item>
+ <item> CompletionStatus </item>
+ <item> CompletionStatusHelper </item>
+ <item> Component </item>
+ <item> ComponentAdapter </item>
+ <item> ComponentColorModel </item>
+ <item> ComponentEvent </item>
+ <item> ComponentInputMap </item>
+ <item> ComponentInputMapUIResource </item>
+ <item> ComponentListener </item>
+ <item> ComponentOrientation </item>
+ <item> ComponentSampleModel </item>
+ <item> ComponentUI </item>
+ <item> ComponentView </item>
+ <item> Composite </item>
+ <item> CompositeContext </item>
+ <item> CompositeName </item>
+ <item> CompositeView </item>
+ <item> CompoundBorder </item>
+ <item> CompoundControl </item>
+ <item> CompoundControl.Type </item>
+ <item> CompoundEdit </item>
+ <item> CompoundName </item>
+ <item> ConcurrentModificationException </item>
+ <item> ConfigurationException </item>
+ <item> ConnectException </item>
+ <item> ConnectException </item>
+ <item> ConnectIOException </item>
+ <item> Connection </item>
+ <item> Constructor </item>
+ <item> Container </item>
+ <item> ContainerAdapter </item>
+ <item> ContainerEvent </item>
+ <item> ContainerListener </item>
+ <item> ContentHandler </item>
+ <item> ContentHandlerFactory </item>
+ <item> ContentModel </item>
+ <item> Context </item>
+ <item> Context </item>
+ <item> ContextList </item>
+ <item> ContextNotEmptyException </item>
+ <item> ContextualRenderedImageFactory </item>
+ <item> Control </item>
+ <item> Control </item>
+ <item> Control.Type </item>
+ <item> ControlFactory </item>
+ <item> ControllerEventListener </item>
+ <item> ConvolveOp </item>
+ <item> CRC32 </item>
+ <item> CRL </item>
+ <item> CRLException </item>
+ <item> CropImageFilter </item>
+ <item> CSS </item>
+ <item> CSS.Attribute </item>
+ <item> CTX_RESTRICT_SCOPE </item>
+ <item> CubicCurve2D </item>
+ <item> CubicCurve2D.Double </item>
+ <item> CubicCurve2D.Float </item>
+ <item> Current </item>
+ <item> CurrentHelper </item>
+ <item> CurrentHolder </item>
+ <item> CurrentOperations </item>
+ <item> Cursor </item>
+ <item> Customizer </item>
+ <item> CustomMarshal </item>
+ <item> CustomValue </item>
+ <item> DATA_CONVERSION </item>
+ <item> DatabaseMetaData </item>
+ <item> DataBuffer </item>
+ <item> DataBufferByte </item>
+ <item> DataBufferInt </item>
+ <item> DataBufferShort </item>
+ <item> DataBufferUShort </item>
+ <item> DataFlavor </item>
+ <item> DataFormatException </item>
+ <item> DatagramPacket </item>
+ <item> DatagramSocket </item>
+ <item> DatagramSocketImpl </item>
+ <item> DatagramSocketImplFactory </item>
+ <item> DataInput </item>
+ <item> DataInputStream </item>
+ <item> DataInputStream </item>
+ <item> DataLine </item>
+ <item> DataLine.Info </item>
+ <item> DataOutput </item>
+ <item> DataOutputStream </item>
+ <item> DataOutputStream </item>
+ <item> DataTruncation </item>
+ <item> Date </item>
+ <item> DateFormat </item>
+ <item> DateFormatSymbols </item>
+ <item> DebugGraphics </item>
+ <item> DecimalFormat </item>
+ <item> DecimalFormatSymbols </item>
+ <item> DefaultBoundedRangeModel </item>
+ <item> DefaultButtonModel </item>
+ <item> DefaultCaret </item>
+ <item> DefaultCellEditor </item>
+ <item> DefaultColorSelectionModel </item>
+ <item> DefaultComboBoxModel </item>
+ <item> DefaultDesktopManager </item>
+ <item> DefaultEditorKit </item>
+ <item> DefaultEditorKit.BeepAction </item>
+ <item> DefaultEditorKit.CopyAction </item>
+ <item> DefaultEditorKit.CutAction </item>
+ <item> DefaultEditorKit.DefaultKeyTypedAction </item>
+ <item> DefaultEditorKit.InsertBreakAction </item>
+ <item> DefaultEditorKit.InsertContentAction </item>
+ <item> DefaultEditorKit.InsertTabAction </item>
+ <item> DefaultEditorKit.PasteAction </item>
+ <item> DefaultFocusManager </item>
+ <item> DefaultHighlighter </item>
+ <item> DefaultHighlighter.DefaultHighlightPainter </item>
+ <item> DefaultListCellRenderer </item>
+ <item> DefaultListCellRenderer.UIResource </item>
+ <item> DefaultListModel </item>
+ <item> DefaultListSelectionModel </item>
+ <item> DefaultMenuLayout </item>
+ <item> DefaultMetalTheme </item>
+ <item> DefaultMutableTreeNode </item>
+ <item> DefaultSingleSelectionModel </item>
+ <item> DefaultStyledDocument </item>
+ <item> DefaultStyledDocument.AttributeUndoableEdit </item>
+ <item> DefaultStyledDocument.ElementSpec </item>
+ <item> DefaultTableCellRenderer </item>
+ <item> DefaultTableCellRenderer.UIResource </item>
+ <item> DefaultTableColumnModel </item>
+ <item> DefaultTableModel </item>
+ <item> DefaultTextUI </item>
+ <item> DefaultTreeCellEditor </item>
+ <item> DefaultTreeCellRenderer </item>
+ <item> DefaultTreeModel </item>
+ <item> DefaultTreeSelectionModel </item>
+ <item> DefinitionKind </item>
+ <item> DefinitionKindHelper </item>
+ <item> Deflater </item>
+ <item> DeflaterOutputStream </item>
+ <item> Delegate </item>
+ <item> DesignMode </item>
+ <item> DesktopIconUI </item>
+ <item> DesktopManager </item>
+ <item> DesktopPaneUI </item>
+ <item> DGC </item>
+ <item> Dialog </item>
+ <item> Dictionary </item>
+ <item> DigestException </item>
+ <item> DigestInputStream </item>
+ <item> DigestOutputStream </item>
+ <item> Dimension </item>
+ <item> Dimension2D </item>
+ <item> DimensionUIResource </item>
+ <item> DirContext </item>
+ <item> DirectColorModel </item>
+ <item> DirectoryManager </item>
+ <item> DirObjectFactory </item>
+ <item> DirStateFactory </item>
+ <item> DirStateFactory.Result </item>
+ <item> DnDConstants </item>
+ <item> Document </item>
+ <item> DocumentEvent </item>
+ <item> DocumentEvent.ElementChange </item>
+ <item> DocumentEvent.EventType </item>
+ <item> DocumentListener </item>
+ <item> DocumentParser </item>
+ <item> DomainCombiner </item>
+ <item> DomainManager </item>
+ <item> DomainManagerOperations </item>
+ <item> Double </item>
+ <item> DoubleHolder </item>
+ <item> DoubleSeqHelper </item>
+ <item> DoubleSeqHolder </item>
+ <item> DragGestureEvent </item>
+ <item> DragGestureListener </item>
+ <item> DragGestureRecognizer </item>
+ <item> DragSource </item>
+ <item> DragSourceContext </item>
+ <item> DragSourceDragEvent </item>
+ <item> DragSourceDropEvent </item>
+ <item> DragSourceEvent </item>
+ <item> DragSourceListener </item>
+ <item> Driver </item>
+ <item> DriverManager </item>
+ <item> DriverPropertyInfo </item>
+ <item> DropTarget </item>
+ <item> DropTarget.DropTargetAutoScroller </item>
+ <item> DropTargetContext </item>
+ <item> DropTargetDragEvent </item>
+ <item> DropTargetDropEvent </item>
+ <item> DropTargetEvent </item>
+ <item> DropTargetListener </item>
+ <item> DSAKey </item>
+ <item> DSAKeyPairGenerator </item>
+ <item> DSAParameterSpec </item>
+ <item> DSAParams </item>
+ <item> DSAPrivateKey </item>
+ <item> DSAPrivateKeySpec </item>
+ <item> DSAPublicKey </item>
+ <item> DSAPublicKeySpec </item>
+ <item> DTD </item>
+ <item> DTDConstants </item>
+ <item> DynamicImplementation </item>
+ <item> DynAny </item>
+ <item> DynArray </item>
+ <item> DynEnum </item>
+ <item> DynFixed </item>
+ <item> DynSequence </item>
+ <item> DynStruct </item>
+ <item> DynUnion </item>
+ <item> DynValue </item>
+ <item> EditorKit </item>
+ <item> Element </item>
+ <item> ElementIterator </item>
+ <item> Ellipse2D </item>
+ <item> Ellipse2D.Double </item>
+ <item> Ellipse2D.Float </item>
+ <item> EmptyBorder </item>
+ <item> EmptyStackException </item>
+ <item> EncodedKeySpec </item>
+ <item> Entity </item>
+ <item> EnumControl </item>
+ <item> EnumControl.Type </item>
+ <item> Enumeration </item>
+ <item> Environment </item>
+ <item> EOFException </item>
+ <item> Error </item>
+ <item> EtchedBorder </item>
+ <item> Event </item>
+ <item> EventContext </item>
+ <item> EventDirContext </item>
+ <item> EventListener </item>
+ <item> EventListenerList </item>
+ <item> EventObject </item>
+ <item> EventQueue </item>
+ <item> EventSetDescriptor </item>
+ <item> Exception </item>
+ <item> ExceptionInInitializerError </item>
+ <item> ExceptionList </item>
+ <item> ExpandVetoException </item>
+ <item> ExportException </item>
+ <item> ExtendedRequest </item>
+ <item> ExtendedResponse </item>
+ <item> Externalizable </item>
+ <item> FeatureDescriptor </item>
+ <item> Field </item>
+ <item> FieldNameHelper </item>
+ <item> FieldPosition </item>
+ <item> FieldView </item>
+ <item> File </item>
+ <item> FileChooserUI </item>
+ <item> FileDescriptor </item>
+ <item> FileDialog </item>
+ <item> FileFilter </item>
+ <item> FileFilter </item>
+ <item> FileInputStream </item>
+ <item> FilenameFilter </item>
+ <item> FileNameMap </item>
+ <item> FileNotFoundException </item>
+ <item> FileOutputStream </item>
+ <item> FilePermission </item>
+ <item> FileReader </item>
+ <item> FileSystemView </item>
+ <item> FileView </item>
+ <item> FileWriter </item>
+ <item> FilteredImageSource </item>
+ <item> FilterInputStream </item>
+ <item> FilterOutputStream </item>
+ <item> FilterReader </item>
+ <item> FilterWriter </item>
+ <item> FixedHeightLayoutCache </item>
+ <item> FixedHolder </item>
+ <item> FlatteningPathIterator </item>
+ <item> FlavorMap </item>
+ <item> Float </item>
+ <item> FloatControl </item>
+ <item> FloatControl.Type </item>
+ <item> FloatHolder </item>
+ <item> FloatSeqHelper </item>
+ <item> FloatSeqHolder </item>
+ <item> FlowLayout </item>
+ <item> FlowView </item>
+ <item> FlowView.FlowStrategy </item>
+ <item> FocusAdapter </item>
+ <item> FocusEvent </item>
+ <item> FocusListener </item>
+ <item> FocusManager </item>
+ <item> Font </item>
+ <item> FontFormatException </item>
+ <item> FontMetrics </item>
+ <item> FontRenderContext </item>
+ <item> FontUIResource </item>
+ <item> Format </item>
+ <item> FormatConversionProvider </item>
+ <item> FormView </item>
+ <item> Frame </item>
+ <item> FREE_MEM </item>
+ <item> GapContent </item>
+ <item> GeneralPath </item>
+ <item> GeneralSecurityException </item>
+ <item> GlyphJustificationInfo </item>
+ <item> GlyphMetrics </item>
+ <item> GlyphVector </item>
+ <item> GlyphView </item>
+ <item> GlyphView.GlyphPainter </item>
+ <item> GradientPaint </item>
+ <item> GraphicAttribute </item>
+ <item> Graphics </item>
+ <item> Graphics2D </item>
+ <item> GraphicsConfigTemplate </item>
+ <item> GraphicsConfiguration </item>
+ <item> GraphicsDevice </item>
+ <item> GraphicsEnvironment </item>
+ <item> GrayFilter </item>
+ <item> GregorianCalendar </item>
+ <item> GridBagConstraints </item>
+ <item> GridBagLayout </item>
+ <item> GridLayout </item>
+ <item> Group </item>
+ <item> Guard </item>
+ <item> GuardedObject </item>
+ <item> GZIPInputStream </item>
+ <item> GZIPOutputStream </item>
+ <item> HasControls </item>
+ <item> HashMap </item>
+ <item> HashSet </item>
+ <item> Hashtable </item>
+ <item> HierarchyBoundsAdapter </item>
+ <item> HierarchyBoundsListener </item>
+ <item> HierarchyEvent </item>
+ <item> HierarchyListener </item>
+ <item> Highlighter </item>
+ <item> Highlighter.Highlight </item>
+ <item> Highlighter.HighlightPainter </item>
+ <item> HTML </item>
+ <item> HTML.Attribute </item>
+ <item> HTML.Tag </item>
+ <item> HTML.UnknownTag </item>
+ <item> HTMLDocument </item>
+ <item> HTMLDocument.Iterator </item>
+ <item> HTMLEditorKit </item>
+ <item> HTMLEditorKit.HTMLFactory </item>
+ <item> HTMLEditorKit.HTMLTextAction </item>
+ <item> HTMLEditorKit.InsertHTMLTextAction </item>
+ <item> HTMLEditorKit.LinkController </item>
+ <item> HTMLEditorKit.Parser </item>
+ <item> HTMLEditorKit.ParserCallback </item>
+ <item> HTMLFrameHyperlinkEvent </item>
+ <item> HTMLWriter </item>
+ <item> HttpURLConnection </item>
+ <item> HyperlinkEvent </item>
+ <item> HyperlinkEvent.EventType </item>
+ <item> HyperlinkListener </item>
+ <item> ICC_ColorSpace </item>
+ <item> ICC_Profile </item>
+ <item> ICC_ProfileGray </item>
+ <item> ICC_ProfileRGB </item>
+ <item> Icon </item>
+ <item> IconUIResource </item>
+ <item> IconView </item>
+ <item> IdentifierHelper </item>
+ <item> Identity </item>
+ <item> IdentityScope </item>
+ <item> IDLEntity </item>
+ <item> IDLType </item>
+ <item> IDLTypeHelper </item>
+ <item> IDLTypeOperations </item>
+ <item> IllegalAccessError </item>
+ <item> IllegalAccessException </item>
+ <item> IllegalArgumentException </item>
+ <item> IllegalComponentStateException </item>
+ <item> IllegalMonitorStateException </item>
+ <item> IllegalPathStateException </item>
+ <item> IllegalStateException </item>
+ <item> IllegalThreadStateException </item>
+ <item> Image </item>
+ <item> ImageConsumer </item>
+ <item> ImageFilter </item>
+ <item> ImageGraphicAttribute </item>
+ <item> ImageIcon </item>
+ <item> ImageObserver </item>
+ <item> ImageProducer </item>
+ <item> ImagingOpException </item>
+ <item> IMP_LIMIT </item>
+ <item> IncompatibleClassChangeError </item>
+ <item> InconsistentTypeCode </item>
+ <item> IndexColorModel </item>
+ <item> IndexedPropertyDescriptor </item>
+ <item> IndexOutOfBoundsException </item>
+ <item> IndirectionException </item>
+ <item> InetAddress </item>
+ <item> Inflater </item>
+ <item> InflaterInputStream </item>
+ <item> InheritableThreadLocal </item>
+ <item> InitialContext </item>
+ <item> InitialContextFactory </item>
+ <item> InitialContextFactoryBuilder </item>
+ <item> InitialDirContext </item>
+ <item> INITIALIZE </item>
+ <item> Initializer </item>
+ <item> InitialLdapContext </item>
+ <item> InlineView </item>
+ <item> InputContext </item>
+ <item> InputEvent </item>
+ <item> InputMap </item>
+ <item> InputMapUIResource </item>
+ <item> InputMethod </item>
+ <item> InputMethodContext </item>
+ <item> InputMethodDescriptor </item>
+ <item> InputMethodEvent </item>
+ <item> InputMethodHighlight </item>
+ <item> InputMethodListener </item>
+ <item> InputMethodRequests </item>
+ <item> InputStream </item>
+ <item> InputStreamReader </item>
+ <item> InputSubset </item>
+ <item> InputVerifier </item>
+ <item> Insets </item>
+ <item> InsetsUIResource </item>
+ <item> InstantiationError </item>
+ <item> InstantiationException </item>
+ <item> Instrument </item>
+ <item> InsufficientResourcesException </item>
+ <item> Integer </item>
+ <item> INTERNAL </item>
+ <item> InternalError </item>
+ <item> InternalFrameAdapter </item>
+ <item> InternalFrameEvent </item>
+ <item> InternalFrameListener </item>
+ <item> InternalFrameUI </item>
+ <item> InterruptedException </item>
+ <item> InterruptedIOException </item>
+ <item> InterruptedNamingException </item>
+ <item> INTF_REPOS </item>
+ <item> IntHolder </item>
+ <item> IntrospectionException </item>
+ <item> Introspector </item>
+ <item> INV_FLAG </item>
+ <item> INV_IDENT </item>
+ <item> INV_OBJREF </item>
+ <item> INV_POLICY </item>
+ <item> Invalid </item>
+ <item> INVALID_TRANSACTION </item>
+ <item> InvalidAlgorithmParameterException </item>
+ <item> InvalidAttributeIdentifierException </item>
+ <item> InvalidAttributesException </item>
+ <item> InvalidAttributeValueException </item>
+ <item> InvalidClassException </item>
+ <item> InvalidDnDOperationException </item>
+ <item> InvalidKeyException </item>
+ <item> InvalidKeySpecException </item>
+ <item> InvalidMidiDataException </item>
+ <item> InvalidName </item>
+ <item> InvalidNameException </item>
+ <item> InvalidNameHelper </item>
+ <item> InvalidNameHolder </item>
+ <item> InvalidObjectException </item>
+ <item> InvalidParameterException </item>
+ <item> InvalidParameterSpecException </item>
+ <item> InvalidSearchControlsException </item>
+ <item> InvalidSearchFilterException </item>
+ <item> InvalidSeq </item>
+ <item> InvalidTransactionException </item>
+ <item> InvalidValue </item>
+ <item> InvocationEvent </item>
+ <item> InvocationHandler </item>
+ <item> InvocationTargetException </item>
+ <item> InvokeHandler </item>
+ <item> IOException </item>
+ <item> IRObject </item>
+ <item> IRObjectOperations </item>
+ <item> IstringHelper </item>
+ <item> ItemEvent </item>
+ <item> ItemListener </item>
+ <item> ItemSelectable </item>
+ <item> Iterator </item>
+ <item> JApplet </item>
+ <item> JarEntry </item>
+ <item> JarException </item>
+ <item> JarFile </item>
+ <item> JarInputStream </item>
+ <item> JarOutputStream </item>
+ <item> JarURLConnection </item>
+ <item> JButton </item>
+ <item> JCheckBox </item>
+ <item> JCheckBoxMenuItem </item>
+ <item> JColorChooser </item>
+ <item> JComboBox </item>
+ <item> JComboBox.KeySelectionManager </item>
+ <item> JComponent </item>
+ <item> JDesktopPane </item>
+ <item> JDialog </item>
+ <item> JEditorPane </item>
+ <item> JFileChooser </item>
+ <item> JFrame </item>
+ <item> JInternalFrame </item>
+ <item> JInternalFrame.JDesktopIcon </item>
+ <item> JLabel </item>
+ <item> JLayeredPane </item>
+ <item> JList </item>
+ <item> JMenu </item>
+ <item> JMenuBar </item>
+ <item> JMenuItem </item>
+ <item> JobAttributes </item>
+ <item> JobAttributes.DefaultSelectionType </item>
+ <item> JobAttributes.DestinationType </item>
+ <item> JobAttributes.DialogType </item>
+ <item> JobAttributes.MultipleDocumentHandlingType </item>
+ <item> JobAttributes.SidesType </item>
+ <item> JOptionPane </item>
+ <item> JPanel </item>
+ <item> JPasswordField </item>
+ <item> JPopupMenu </item>
+ <item> JPopupMenu.Separator </item>
+ <item> JProgressBar </item>
+ <item> JRadioButton </item>
+ <item> JRadioButtonMenuItem </item>
+ <item> JRootPane </item>
+ <item> JScrollBar </item>
+ <item> JScrollPane </item>
+ <item> JSeparator </item>
+ <item> JSlider </item>
+ <item> JSplitPane </item>
+ <item> JTabbedPane </item>
+ <item> JTable </item>
+ <item> JTableHeader </item>
+ <item> JTextArea </item>
+ <item> JTextComponent </item>
+ <item> JTextComponent.KeyBinding </item>
+ <item> JTextField </item>
+ <item> JTextPane </item>
+ <item> JToggleButton </item>
+ <item> JToggleButton.ToggleButtonModel </item>
+ <item> JToolBar </item>
+ <item> JToolBar.Separator </item>
+ <item> JToolTip </item>
+ <item> JTree </item>
+ <item> JTree.DynamicUtilTreeNode </item>
+ <item> JTree.EmptySelectionModel </item>
+ <item> JViewport </item>
+ <item> JWindow </item>
+ <item> Kernel </item>
+ <item> Key </item>
+ <item> KeyAdapter </item>
+ <item> KeyEvent </item>
+ <item> KeyException </item>
+ <item> KeyFactory </item>
+ <item> KeyFactorySpi </item>
+ <item> KeyListener </item>
+ <item> KeyManagementException </item>
+ <item> Keymap </item>
+ <item> KeyPair </item>
+ <item> KeyPairGenerator </item>
+ <item> KeyPairGeneratorSpi </item>
+ <item> KeySpec </item>
+ <item> KeyStore </item>
+ <item> KeyStoreException </item>
+ <item> KeyStoreSpi </item>
+ <item> KeyStroke </item>
+ <item> Label </item>
+ <item> LabelUI </item>
+ <item> LabelView </item>
+ <item> LastOwnerException </item>
+ <item> LayeredHighlighter </item>
+ <item> LayeredHighlighter.LayerPainter </item>
+ <item> LayoutManager </item>
+ <item> LayoutManager2 </item>
+ <item> LayoutQueue </item>
+ <item> LdapContext </item>
+ <item> LdapReferralException </item>
+ <item> Lease </item>
+ <item> LimitExceededException </item>
+ <item> Line </item>
+ <item> Line.Info </item>
+ <item> Line2D </item>
+ <item> Line2D.Double </item>
+ <item> Line2D.Float </item>
+ <item> LineBorder </item>
+ <item> LineBreakMeasurer </item>
+ <item> LineEvent </item>
+ <item> LineEvent.Type </item>
+ <item> LineListener </item>
+ <item> LineMetrics </item>
+ <item> LineNumberInputStream </item>
+ <item> LineNumberReader </item>
+ <item> LineUnavailableException </item>
+ <item> LinkageError </item>
+ <item> LinkedList </item>
+ <item> LinkException </item>
+ <item> LinkLoopException </item>
+ <item> LinkRef </item>
+ <item> List </item>
+ <item> ListCellRenderer </item>
+ <item> ListDataEvent </item>
+ <item> ListDataListener </item>
+ <item> ListIterator </item>
+ <item> ListModel </item>
+ <item> ListResourceBundle </item>
+ <item> ListSelectionEvent </item>
+ <item> ListSelectionListener </item>
+ <item> ListSelectionModel </item>
+ <item> ListUI </item>
+ <item> ListView </item>
+ <item> LoaderHandler </item>
+ <item> Locale </item>
+ <item> LocateRegistry </item>
+ <item> LogStream </item>
+ <item> Long </item>
+ <item> LongHolder </item>
+ <item> LongLongSeqHelper </item>
+ <item> LongLongSeqHolder </item>
+ <item> LongSeqHelper </item>
+ <item> LongSeqHolder </item>
+ <item> LookAndFeel </item>
+ <item> LookupOp </item>
+ <item> LookupTable </item>
+ <item> MalformedLinkException </item>
+ <item> MalformedURLException </item>
+ <item> Manifest </item>
+ <item> Map </item>
+ <item> Map.Entry </item>
+ <item> MARSHAL </item>
+ <item> MarshalException </item>
+ <item> MarshalledObject </item>
+ <item> Math </item>
+ <item> MatteBorder </item>
+ <item> MediaTracker </item>
+ <item> Member </item>
+ <item> MemoryImageSource </item>
+ <item> Menu </item>
+ <item> MenuBar </item>
+ <item> MenuBarUI </item>
+ <item> MenuComponent </item>
+ <item> MenuContainer </item>
+ <item> MenuDragMouseEvent </item>
+ <item> MenuDragMouseListener </item>
+ <item> MenuElement </item>
+ <item> MenuEvent </item>
+ <item> MenuItem </item>
+ <item> MenuItemUI </item>
+ <item> MenuKeyEvent </item>
+ <item> MenuKeyListener </item>
+ <item> MenuListener </item>
+ <item> MenuSelectionManager </item>
+ <item> MenuShortcut </item>
+ <item> MessageDigest </item>
+ <item> MessageDigestSpi </item>
+ <item> MessageFormat </item>
+ <item> MetaEventListener </item>
+ <item> MetalBorders </item>
+ <item> MetalBorders.ButtonBorder </item>
+ <item> MetalBorders.Flush3DBorder </item>
+ <item> MetalBorders.InternalFrameBorder </item>
+ <item> MetalBorders.MenuBarBorder </item>
+ <item> MetalBorders.MenuItemBorder </item>
+ <item> MetalBorders.OptionDialogBorder </item>
+ <item> MetalBorders.PaletteBorder </item>
+ <item> MetalBorders.PopupMenuBorder </item>
+ <item> MetalBorders.RolloverButtonBorder </item>
+ <item> MetalBorders.ScrollPaneBorder </item>
+ <item> MetalBorders.TableHeaderBorder </item>
+ <item> MetalBorders.TextFieldBorder </item>
+ <item> MetalBorders.ToggleButtonBorder </item>
+ <item> MetalBorders.ToolBarBorder </item>
+ <item> MetalButtonUI </item>
+ <item> MetalCheckBoxIcon </item>
+ <item> MetalCheckBoxUI </item>
+ <item> MetalComboBoxButton </item>
+ <item> MetalComboBoxEditor </item>
+ <item> MetalComboBoxEditor.UIResource </item>
+ <item> MetalComboBoxIcon </item>
+ <item> MetalComboBoxUI </item>
+ <item> MetalDesktopIconUI </item>
+ <item> MetalFileChooserUI </item>
+ <item> MetalIconFactory </item>
+ <item> MetalIconFactory.FileIcon16 </item>
+ <item> MetalIconFactory.FolderIcon16 </item>
+ <item> MetalIconFactory.PaletteCloseIcon </item>
+ <item> MetalIconFactory.TreeControlIcon </item>
+ <item> MetalIconFactory.TreeFolderIcon </item>
+ <item> MetalIconFactory.TreeLeafIcon </item>
+ <item> MetalInternalFrameTitlePane </item>
+ <item> MetalInternalFrameUI </item>
+ <item> MetalLabelUI </item>
+ <item> MetalLookAndFeel </item>
+ <item> MetalPopupMenuSeparatorUI </item>
+ <item> MetalProgressBarUI </item>
+ <item> MetalRadioButtonUI </item>
+ <item> MetalScrollBarUI </item>
+ <item> MetalScrollButton </item>
+ <item> MetalScrollPaneUI </item>
+ <item> MetalSeparatorUI </item>
+ <item> MetalSliderUI </item>
+ <item> MetalSplitPaneUI </item>
+ <item> MetalTabbedPaneUI </item>
+ <item> MetalTextFieldUI </item>
+ <item> MetalTheme </item>
+ <item> MetalToggleButtonUI </item>
+ <item> MetalToolBarUI </item>
+ <item> MetalToolTipUI </item>
+ <item> MetalTreeUI </item>
+ <item> MetaMessage </item>
+ <item> Method </item>
+ <item> MethodDescriptor </item>
+ <item> MidiChannel </item>
+ <item> MidiDevice </item>
+ <item> MidiDevice.Info </item>
+ <item> MidiDeviceProvider </item>
+ <item> MidiEvent </item>
+ <item> MidiFileFormat </item>
+ <item> MidiFileReader </item>
+ <item> MidiFileWriter </item>
+ <item> MidiMessage </item>
+ <item> MidiSystem </item>
+ <item> MidiUnavailableException </item>
+ <item> MimeTypeParseException </item>
+ <item> MinimalHTMLWriter </item>
+ <item> MissingResourceException </item>
+ <item> Mixer </item>
+ <item> Mixer.Info </item>
+ <item> MixerProvider </item>
+ <item> ModificationItem </item>
+ <item> Modifier </item>
+ <item> MouseAdapter </item>
+ <item> MouseDragGestureRecognizer </item>
+ <item> MouseEvent </item>
+ <item> MouseInputAdapter </item>
+ <item> MouseInputListener </item>
+ <item> MouseListener </item>
+ <item> MouseMotionAdapter </item>
+ <item> MouseMotionListener </item>
+ <item> MultiButtonUI </item>
+ <item> MulticastSocket </item>
+ <item> MultiColorChooserUI </item>
+ <item> MultiComboBoxUI </item>
+ <item> MultiDesktopIconUI </item>
+ <item> MultiDesktopPaneUI </item>
+ <item> MultiFileChooserUI </item>
+ <item> MultiInternalFrameUI </item>
+ <item> MultiLabelUI </item>
+ <item> MultiListUI </item>
+ <item> MultiLookAndFeel </item>
+ <item> MultiMenuBarUI </item>
+ <item> MultiMenuItemUI </item>
+ <item> MultiOptionPaneUI </item>
+ <item> MultiPanelUI </item>
+ <item> MultiPixelPackedSampleModel </item>
+ <item> MultipleMaster </item>
+ <item> MultiPopupMenuUI </item>
+ <item> MultiProgressBarUI </item>
+ <item> MultiScrollBarUI </item>
+ <item> MultiScrollPaneUI </item>
+ <item> MultiSeparatorUI </item>
+ <item> MultiSliderUI </item>
+ <item> MultiSplitPaneUI </item>
+ <item> MultiTabbedPaneUI </item>
+ <item> MultiTableHeaderUI </item>
+ <item> MultiTableUI </item>
+ <item> MultiTextUI </item>
+ <item> MultiToolBarUI </item>
+ <item> MultiToolTipUI </item>
+ <item> MultiTreeUI </item>
+ <item> MultiViewportUI </item>
+ <item> MutableAttributeSet </item>
+ <item> MutableComboBoxModel </item>
+ <item> MutableTreeNode </item>
+ <item> Name </item>
+ <item> NameAlreadyBoundException </item>
+ <item> NameClassPair </item>
+ <item> NameComponent </item>
+ <item> NameComponentHelper </item>
+ <item> NameComponentHolder </item>
+ <item> NamedValue </item>
+ <item> NameHelper </item>
+ <item> NameHolder </item>
+ <item> NameNotFoundException </item>
+ <item> NameParser </item>
+ <item> NamespaceChangeListener </item>
+ <item> NameValuePair </item>
+ <item> NameValuePairHelper </item>
+ <item> Naming </item>
+ <item> NamingContext </item>
+ <item> NamingContextHelper </item>
+ <item> NamingContextHolder </item>
+ <item> NamingContextOperations </item>
+ <item> NamingEnumeration </item>
+ <item> NamingEvent </item>
+ <item> NamingException </item>
+ <item> NamingExceptionEvent </item>
+ <item> NamingListener </item>
+ <item> NamingManager </item>
+ <item> NamingSecurityException </item>
+ <item> NegativeArraySizeException </item>
+ <item> NetPermission </item>
+ <item> NO_IMPLEMENT </item>
+ <item> NO_MEMORY </item>
+ <item> NO_PERMISSION </item>
+ <item> NO_RESOURCES </item>
+ <item> NO_RESPONSE </item>
+ <item> NoClassDefFoundError </item>
+ <item> NoInitialContextException </item>
+ <item> NoninvertibleTransformException </item>
+ <item> NoPermissionException </item>
+ <item> NoRouteToHostException </item>
+ <item> NoSuchAlgorithmException </item>
+ <item> NoSuchAttributeException </item>
+ <item> NoSuchElementException </item>
+ <item> NoSuchFieldError </item>
+ <item> NoSuchFieldException </item>
+ <item> NoSuchMethodError </item>
+ <item> NoSuchMethodException </item>
+ <item> NoSuchObjectException </item>
+ <item> NoSuchProviderException </item>
+ <item> NotActiveException </item>
+ <item> NotBoundException </item>
+ <item> NotContextException </item>
+ <item> NotEmpty </item>
+ <item> NotEmptyHelper </item>
+ <item> NotEmptyHolder </item>
+ <item> NotFound </item>
+ <item> NotFoundHelper </item>
+ <item> NotFoundHolder </item>
+ <item> NotFoundReason </item>
+ <item> NotFoundReasonHelper </item>
+ <item> NotFoundReasonHolder </item>
+ <item> NotOwnerException </item>
+ <item> NotSerializableException </item>
+ <item> NullPointerException </item>
+ <item> Number </item>
+ <item> NumberFormat </item>
+ <item> NumberFormatException </item>
+ <item> NVList </item>
+ <item> OBJ_ADAPTER </item>
+ <item> Object </item>
+ <item> OBJECT_NOT_EXIST </item>
+ <item> ObjectChangeListener </item>
+ <item> ObjectFactory </item>
+ <item> ObjectFactoryBuilder </item>
+ <item> ObjectHelper </item>
+ <item> ObjectHolder </item>
+ <item> ObjectImpl </item>
+ <item> ObjectImpl </item>
+ <item> ObjectInput </item>
+ <item> ObjectInputStream </item>
+ <item> ObjectInputStream.GetField </item>
+ <item> ObjectInputValidation </item>
+ <item> ObjectOutput </item>
+ <item> ObjectOutputStream </item>
+ <item> ObjectOutputStream.PutField </item>
+ <item> ObjectStreamClass </item>
+ <item> ObjectStreamConstants </item>
+ <item> ObjectStreamException </item>
+ <item> ObjectStreamField </item>
+ <item> ObjectView </item>
+ <item> ObjID </item>
+ <item> Observable </item>
+ <item> Observer </item>
+ <item> OctetSeqHelper </item>
+ <item> OctetSeqHolder </item>
+ <item> OMGVMCID </item>
+ <item> OpenType </item>
+ <item> Operation </item>
+ <item> OperationNotSupportedException </item>
+ <item> Option </item>
+ <item> OptionalDataException </item>
+ <item> OptionPaneUI </item>
+ <item> ORB </item>
+ <item> OutOfMemoryError </item>
+ <item> OutputStream </item>
+ <item> OutputStreamWriter </item>
+ <item> OverlayLayout </item>
+ <item> Owner </item>
+ <item> Package </item>
+ <item> PackedColorModel </item>
+ <item> Pageable </item>
+ <item> PageAttributes </item>
+ <item> PageAttributes.ColorType </item>
+ <item> PageAttributes.MediaType </item>
+ <item> PageAttributes.OrientationRequestedType </item>
+ <item> PageAttributes.OriginType </item>
+ <item> PageAttributes.PrintQualityType </item>
+ <item> PageFormat </item>
+ <item> Paint </item>
+ <item> PaintContext </item>
+ <item> PaintEvent </item>
+ <item> Panel </item>
+ <item> PanelUI </item>
+ <item> Paper </item>
+ <item> ParagraphView </item>
+ <item> ParameterBlock </item>
+ <item> ParameterDescriptor </item>
+ <item> ParseException </item>
+ <item> ParsePosition </item>
+ <item> Parser </item>
+ <item> ParserDelegator </item>
+ <item> PartialResultException </item>
+ <item> PasswordAuthentication </item>
+ <item> PasswordView </item>
+ <item> Patch </item>
+ <item> PathIterator </item>
+ <item> Permission </item>
+ <item> PermissionCollection </item>
+ <item> Permissions </item>
+ <item> PERSIST_STORE </item>
+ <item> PhantomReference </item>
+ <item> PipedInputStream </item>
+ <item> PipedOutputStream </item>
+ <item> PipedReader </item>
+ <item> PipedWriter </item>
+ <item> PixelGrabber </item>
+ <item> PixelInterleavedSampleModel </item>
+ <item> PKCS8EncodedKeySpec </item>
+ <item> PlainDocument </item>
+ <item> PlainView </item>
+ <item> Point </item>
+ <item> Point2D </item>
+ <item> Point2D.Double </item>
+ <item> Point2D.Float </item>
+ <item> Policy </item>
+ <item> Policy </item>
+ <item> PolicyError </item>
+ <item> PolicyHelper </item>
+ <item> PolicyHolder </item>
+ <item> PolicyListHelper </item>
+ <item> PolicyListHolder </item>
+ <item> PolicyOperations </item>
+ <item> PolicyTypeHelper </item>
+ <item> Polygon </item>
+ <item> PopupMenu </item>
+ <item> PopupMenuEvent </item>
+ <item> PopupMenuListener </item>
+ <item> PopupMenuUI </item>
+ <item> Port </item>
+ <item> Port.Info </item>
+ <item> PortableRemoteObject </item>
+ <item> PortableRemoteObjectDelegate </item>
+ <item> Position </item>
+ <item> Position.Bias </item>
+ <item> PreparedStatement </item>
+ <item> Principal </item>
+ <item> PrincipalHolder </item>
+ <item> Printable </item>
+ <item> PrinterAbortException </item>
+ <item> PrinterException </item>
+ <item> PrinterGraphics </item>
+ <item> PrinterIOException </item>
+ <item> PrinterJob </item>
+ <item> PrintGraphics </item>
+ <item> PrintJob </item>
+ <item> PrintStream </item>
+ <item> PrintWriter </item>
+ <item> PRIVATE_MEMBER </item>
+ <item> PrivateKey </item>
+ <item> PrivilegedAction </item>
+ <item> PrivilegedActionException </item>
+ <item> PrivilegedExceptionAction </item>
+ <item> Process </item>
+ <item> ProfileDataException </item>
+ <item> ProgressBarUI </item>
+ <item> ProgressMonitor </item>
+ <item> ProgressMonitorInputStream </item>
+ <item> Properties </item>
+ <item> PropertyChangeEvent </item>
+ <item> PropertyChangeListener </item>
+ <item> PropertyChangeSupport </item>
+ <item> PropertyDescriptor </item>
+ <item> PropertyEditor </item>
+ <item> PropertyEditorManager </item>
+ <item> PropertyEditorSupport </item>
+ <item> PropertyPermission </item>
+ <item> PropertyResourceBundle </item>
+ <item> PropertyVetoException </item>
+ <item> ProtectionDomain </item>
+ <item> ProtocolException </item>
+ <item> Provider </item>
+ <item> ProviderException </item>
+ <item> Proxy </item>
+ <item> PUBLIC_MEMBER </item>
+ <item> PublicKey </item>
+ <item> PushbackInputStream </item>
+ <item> PushbackReader </item>
+ <item> QuadCurve2D </item>
+ <item> QuadCurve2D.Double </item>
+ <item> QuadCurve2D.Float </item>
+ <item> Random </item>
+ <item> RandomAccessFile </item>
+ <item> Raster </item>
+ <item> RasterFormatException </item>
+ <item> RasterOp </item>
+ <item> Reader </item>
+ <item> Receiver </item>
+ <item> Rectangle </item>
+ <item> Rectangle2D </item>
+ <item> Rectangle2D.Double </item>
+ <item> Rectangle2D.Float </item>
+ <item> RectangularShape </item>
+ <item> Ref </item>
+ <item> RefAddr </item>
+ <item> Reference </item>
+ <item> Referenceable </item>
+ <item> ReferenceQueue </item>
+ <item> ReferralException </item>
+ <item> ReflectPermission </item>
+ <item> Registry </item>
+ <item> RegistryHandler </item>
+ <item> RemarshalException </item>
+ <item> Remote </item>
+ <item> RemoteCall </item>
+ <item> RemoteException </item>
+ <item> RemoteObject </item>
+ <item> RemoteRef </item>
+ <item> RemoteServer </item>
+ <item> RemoteStub </item>
+ <item> RenderableImage </item>
+ <item> RenderableImageOp </item>
+ <item> RenderableImageProducer </item>
+ <item> RenderContext </item>
+ <item> RenderedImage </item>
+ <item> RenderedImageFactory </item>
+ <item> Renderer </item>
+ <item> RenderingHints </item>
+ <item> RenderingHints.Key </item>
+ <item> RepaintManager </item>
+ <item> ReplicateScaleFilter </item>
+ <item> Repository </item>
+ <item> RepositoryIdHelper </item>
+ <item> Request </item>
+ <item> RescaleOp </item>
+ <item> Resolver </item>
+ <item> ResolveResult </item>
+ <item> ResourceBundle </item>
+ <item> ResponseHandler </item>
+ <item> ResultSet </item>
+ <item> ResultSetMetaData </item>
+ <item> ReverbType </item>
+ <item> RGBImageFilter </item>
+ <item> RMIClassLoader </item>
+ <item> RMIClientSocketFactory </item>
+ <item> RMIFailureHandler </item>
+ <item> RMISecurityException </item>
+ <item> RMISecurityManager </item>
+ <item> RMIServerSocketFactory </item>
+ <item> RMISocketFactory </item>
+ <item> Robot </item>
+ <item> RootPaneContainer </item>
+ <item> RootPaneUI </item>
+ <item> RoundRectangle2D </item>
+ <item> RoundRectangle2D.Double </item>
+ <item> RoundRectangle2D.Float </item>
+ <item> RowMapper </item>
+ <item> RSAKey </item>
+ <item> RSAKeyGenParameterSpec </item>
+ <item> RSAPrivateCrtKey </item>
+ <item> RSAPrivateCrtKeySpec </item>
+ <item> RSAPrivateKey </item>
+ <item> RSAPrivateKeySpec </item>
+ <item> RSAPublicKey </item>
+ <item> RSAPublicKeySpec </item>
+ <item> RTFEditorKit </item>
+ <item> RuleBasedCollator </item>
+ <item> Runnable </item>
+ <item> Runtime </item>
+ <item> RunTime </item>
+ <item> RuntimeException </item>
+ <item> RunTimeOperations </item>
+ <item> RuntimePermission </item>
+ <item> SampleModel </item>
+ <item> SchemaViolationException </item>
+ <item> Scrollable </item>
+ <item> Scrollbar </item>
+ <item> ScrollBarUI </item>
+ <item> ScrollPane </item>
+ <item> ScrollPaneConstants </item>
+ <item> ScrollPaneLayout </item>
+ <item> ScrollPaneLayout.UIResource </item>
+ <item> ScrollPaneUI </item>
+ <item> SearchControls </item>
+ <item> SearchResult </item>
+ <item> SecureClassLoader </item>
+ <item> SecureRandom </item>
+ <item> SecureRandomSpi </item>
+ <item> Security </item>
+ <item> SecurityException </item>
+ <item> SecurityManager </item>
+ <item> SecurityPermission </item>
+ <item> Segment </item>
+ <item> SeparatorUI </item>
+ <item> Sequence </item>
+ <item> SequenceInputStream </item>
+ <item> Sequencer </item>
+ <item> Sequencer.SyncMode </item>
+ <item> Serializable </item>
+ <item> SerializablePermission </item>
+ <item> ServantObject </item>
+ <item> ServerCloneException </item>
+ <item> ServerError </item>
+ <item> ServerException </item>
+ <item> ServerNotActiveException </item>
+ <item> ServerRef </item>
+ <item> ServerRequest </item>
+ <item> ServerRuntimeException </item>
+ <item> ServerSocket </item>
+ <item> ServiceDetail </item>
+ <item> ServiceDetailHelper </item>
+ <item> ServiceInformation </item>
+ <item> ServiceInformationHelper </item>
+ <item> ServiceInformationHolder </item>
+ <item> ServiceUnavailableException </item>
+ <item> Set </item>
+ <item> SetOverrideType </item>
+ <item> SetOverrideTypeHelper </item>
+ <item> Shape </item>
+ <item> ShapeGraphicAttribute </item>
+ <item> Short </item>
+ <item> ShortHolder </item>
+ <item> ShortLookupTable </item>
+ <item> ShortMessage </item>
+ <item> ShortSeqHelper </item>
+ <item> ShortSeqHolder </item>
+ <item> Signature </item>
+ <item> SignatureException </item>
+ <item> SignatureSpi </item>
+ <item> SignedObject </item>
+ <item> Signer </item>
+ <item> SimpleAttributeSet </item>
+ <item> SimpleBeanInfo </item>
+ <item> SimpleDateFormat </item>
+ <item> SimpleTimeZone </item>
+ <item> SinglePixelPackedSampleModel </item>
+ <item> SingleSelectionModel </item>
+ <item> SizeLimitExceededException </item>
+ <item> SizeRequirements </item>
+ <item> SizeSequence </item>
+ <item> Skeleton </item>
+ <item> SkeletonMismatchException </item>
+ <item> SkeletonNotFoundException </item>
+ <item> SliderUI </item>
+ <item> Socket </item>
+ <item> SocketException </item>
+ <item> SocketImpl </item>
+ <item> SocketImplFactory </item>
+ <item> SocketOptions </item>
+ <item> SocketPermission </item>
+ <item> SocketSecurityException </item>
+ <item> SoftBevelBorder </item>
+ <item> SoftReference </item>
+ <item> SortedMap </item>
+ <item> SortedSet </item>
+ <item> Soundbank </item>
+ <item> SoundbankReader </item>
+ <item> SoundbankResource </item>
+ <item> SourceDataLine </item>
+ <item> SplitPaneUI </item>
+ <item> SQLData </item>
+ <item> SQLException </item>
+ <item> SQLInput </item>
+ <item> SQLOutput </item>
+ <item> SQLPermission </item>
+ <item> SQLWarning </item>
+ <item> Stack </item>
+ <item> StackOverflowError </item>
+ <item> StateEdit </item>
+ <item> StateEditable </item>
+ <item> StateFactory </item>
+ <item> Statement </item>
+ <item> Streamable </item>
+ <item> StreamableValue </item>
+ <item> StreamCorruptedException </item>
+ <item> StreamTokenizer </item>
+ <item> StrictMath </item>
+ <item> String </item>
+ <item> StringBuffer </item>
+ <item> StringBufferInputStream </item>
+ <item> StringCharacterIterator </item>
+ <item> StringContent </item>
+ <item> StringHolder </item>
+ <item> StringIndexOutOfBoundsException </item>
+ <item> StringReader </item>
+ <item> StringRefAddr </item>
+ <item> StringSelection </item>
+ <item> StringTokenizer </item>
+ <item> StringValueHelper </item>
+ <item> StringWriter </item>
+ <item> Stroke </item>
+ <item> Struct </item>
+ <item> StructMember </item>
+ <item> StructMemberHelper </item>
+ <item> Stub </item>
+ <item> StubDelegate </item>
+ <item> StubNotFoundException </item>
+ <item> Style </item>
+ <item> StyleConstants </item>
+ <item> StyleConstants.CharacterConstants </item>
+ <item> StyleConstants.ColorConstants </item>
+ <item> StyleConstants.FontConstants </item>
+ <item> StyleConstants.ParagraphConstants </item>
+ <item> StyleContext </item>
+ <item> StyledDocument </item>
+ <item> StyledEditorKit </item>
+ <item> StyledEditorKit.AlignmentAction </item>
+ <item> StyledEditorKit.BoldAction </item>
+ <item> StyledEditorKit.FontFamilyAction </item>
+ <item> StyledEditorKit.FontSizeAction </item>
+ <item> StyledEditorKit.ForegroundAction </item>
+ <item> StyledEditorKit.ItalicAction </item>
+ <item> StyledEditorKit.StyledTextAction </item>
+ <item> StyledEditorKit.UnderlineAction </item>
+ <item> StyleSheet </item>
+ <item> StyleSheet.BoxPainter </item>
+ <item> StyleSheet.ListPainter </item>
+ <item> SwingConstants </item>
+ <item> SwingPropertyChangeSupport </item>
+ <item> SwingUtilities </item>
+ <item> SyncFailedException </item>
+ <item> Synthesizer </item>
+ <item> SysexMessage </item>
+ <item> System </item>
+ <item> SystemColor </item>
+ <item> SystemException </item>
+ <item> SystemFlavorMap </item>
+ <item> TabableView </item>
+ <item> TabbedPaneUI </item>
+ <item> TabExpander </item>
+ <item> TableCellEditor </item>
+ <item> TableCellRenderer </item>
+ <item> TableColumn </item>
+ <item> TableColumnModel </item>
+ <item> TableColumnModelEvent </item>
+ <item> TableColumnModelListener </item>
+ <item> TableHeaderUI </item>
+ <item> TableModel </item>
+ <item> TableModelEvent </item>
+ <item> TableModelListener </item>
+ <item> TableUI </item>
+ <item> TableView </item>
+ <item> TabSet </item>
+ <item> TabStop </item>
+ <item> TagElement </item>
+ <item> TargetDataLine </item>
+ <item> TCKind </item>
+ <item> TextAction </item>
+ <item> TextArea </item>
+ <item> TextAttribute </item>
+ <item> TextComponent </item>
+ <item> TextEvent </item>
+ <item> TextField </item>
+ <item> TextHitInfo </item>
+ <item> TextLayout </item>
+ <item> TextLayout.CaretPolicy </item>
+ <item> TextListener </item>
+ <item> TextMeasurer </item>
+ <item> TextUI </item>
+ <item> TexturePaint </item>
+ <item> Thread </item>
+ <item> ThreadDeath </item>
+ <item> ThreadGroup </item>
+ <item> ThreadLocal </item>
+ <item> Throwable </item>
+ <item> Tie </item>
+ <item> TileObserver </item>
+ <item> Time </item>
+ <item> TimeLimitExceededException </item>
+ <item> Timer </item>
+ <item> Timer </item>
+ <item> TimerTask </item>
+ <item> Timestamp </item>
+ <item> TimeZone </item>
+ <item> TitledBorder </item>
+ <item> ToolBarUI </item>
+ <item> Toolkit </item>
+ <item> ToolTipManager </item>
+ <item> ToolTipUI </item>
+ <item> TooManyListenersException </item>
+ <item> Track </item>
+ <item> TRANSACTION_REQUIRED </item>
+ <item> TRANSACTION_ROLLEDBACK </item>
+ <item> TransactionRequiredException </item>
+ <item> TransactionRolledbackException </item>
+ <item> Transferable </item>
+ <item> TransformAttribute </item>
+ <item> TRANSIENT </item>
+ <item> Transmitter </item>
+ <item> Transparency </item>
+ <item> TreeCellEditor </item>
+ <item> TreeCellRenderer </item>
+ <item> TreeExpansionEvent </item>
+ <item> TreeExpansionListener </item>
+ <item> TreeMap </item>
+ <item> TreeModel </item>
+ <item> TreeModelEvent </item>
+ <item> TreeModelListener </item>
+ <item> TreeNode </item>
+ <item> TreePath </item>
+ <item> TreeSelectionEvent </item>
+ <item> TreeSelectionListener </item>
+ <item> TreeSelectionModel </item>
+ <item> TreeSet </item>
+ <item> TreeUI </item>
+ <item> TreeWillExpandListener </item>
+ <item> TypeCode </item>
+ <item> TypeCodeHolder </item>
+ <item> TypeMismatch </item>
+ <item> Types </item>
+ <item> UID </item>
+ <item> UIDefaults </item>
+ <item> UIDefaults.ActiveValue </item>
+ <item> UIDefaults.LazyInputMap </item>
+ <item> UIDefaults.LazyValue </item>
+ <item> UIDefaults.ProxyLazyValue </item>
+ <item> UIManager </item>
+ <item> UIManager.LookAndFeelInfo </item>
+ <item> UIResource </item>
+ <item> ULongLongSeqHelper </item>
+ <item> ULongLongSeqHolder </item>
+ <item> ULongSeqHelper </item>
+ <item> ULongSeqHolder </item>
+ <item> UndeclaredThrowableException </item>
+ <item> UndoableEdit </item>
+ <item> UndoableEditEvent </item>
+ <item> UndoableEditListener </item>
+ <item> UndoableEditSupport </item>
+ <item> UndoManager </item>
+ <item> UnexpectedException </item>
+ <item> UnicastRemoteObject </item>
+ <item> UnionMember </item>
+ <item> UnionMemberHelper </item>
+ <item> UNKNOWN </item>
+ <item> UnknownError </item>
+ <item> UnknownException </item>
+ <item> UnknownGroupException </item>
+ <item> UnknownHostException </item>
+ <item> UnknownHostException </item>
+ <item> UnknownObjectException </item>
+ <item> UnknownServiceException </item>
+ <item> UnknownUserException </item>
+ <item> UnmarshalException </item>
+ <item> UnrecoverableKeyException </item>
+ <item> Unreferenced </item>
+ <item> UnresolvedPermission </item>
+ <item> UnsatisfiedLinkError </item>
+ <item> UnsolicitedNotification </item>
+ <item> UnsolicitedNotificationEvent </item>
+ <item> UnsolicitedNotificationListener </item>
+ <item> UNSUPPORTED_POLICY </item>
+ <item> UNSUPPORTED_POLICY_VALUE </item>
+ <item> UnsupportedAudioFileException </item>
+ <item> UnsupportedClassVersionError </item>
+ <item> UnsupportedEncodingException </item>
+ <item> UnsupportedFlavorException </item>
+ <item> UnsupportedLookAndFeelException </item>
+ <item> UnsupportedOperationException </item>
+ <item> URL </item>
+ <item> URLClassLoader </item>
+ <item> URLConnection </item>
+ <item> URLDecoder </item>
+ <item> URLEncoder </item>
+ <item> URLStreamHandler </item>
+ <item> URLStreamHandlerFactory </item>
+ <item> UserException </item>
+ <item> UShortSeqHelper </item>
+ <item> UShortSeqHolder </item>
+ <item> UTFDataFormatException </item>
+ <item> Util </item>
+ <item> UtilDelegate </item>
+ <item> Utilities </item>
+ <item> ValueBase </item>
+ <item> ValueBaseHelper </item>
+ <item> ValueBaseHolder </item>
+ <item> ValueFactory </item>
+ <item> ValueHandler </item>
+ <item> ValueMember </item>
+ <item> ValueMemberHelper </item>
+ <item> VariableHeightLayoutCache </item>
+ <item> Vector </item>
+ <item> VerifyError </item>
+ <item> VersionSpecHelper </item>
+ <item> VetoableChangeListener </item>
+ <item> VetoableChangeSupport </item>
+ <item> View </item>
+ <item> ViewFactory </item>
+ <item> ViewportLayout </item>
+ <item> ViewportUI </item>
+ <item> VirtualMachineError </item>
+ <item> Visibility </item>
+ <item> VisibilityHelper </item>
+ <item> VM_ABSTRACT </item>
+ <item> VM_CUSTOM </item>
+ <item> VM_NONE </item>
+ <item> VM_TRUNCATABLE </item>
+ <item> VMID </item>
+ <item> VoiceStatus </item>
+ <item> Void </item>
+ <item> WCharSeqHelper </item>
+ <item> WCharSeqHolder </item>
+ <item> WeakHashMap </item>
+ <item> WeakReference </item>
+ <item> Window </item>
+ <item> WindowAdapter </item>
+ <item> WindowConstants </item>
+ <item> WindowEvent </item>
+ <item> WindowListener </item>
+ <item> WrappedPlainView </item>
+ <item> WritableRaster </item>
+ <item> WritableRenderedImage </item>
+ <item> WriteAbortedException </item>
+ <item> Writer </item>
+ <item> WrongTransaction </item>
+ <item> WStringValueHelper </item>
+ <item> X509Certificate </item>
+ <item> X509CRL </item>
+ <item> X509CRLEntry </item>
+ <item> X509EncodedKeySpec </item>
+ <item> X509Extension </item>
+ <item> ZipEntry </item>
+ <item> ZipException </item>
+ <item> ZipFile </item>
+ <item> ZipInputStream </item>
+ <item> ZipOutputStream </item>
+ <item> ZoneView </item>
+ <item> _BindingIteratorImplBase </item>
+ <item> _BindingIteratorStub </item>
+ <item> _IDLTypeStub </item>
+ <item> _NamingContextImplBase </item>
+ <item> _NamingContextStub </item>
+ <item> _PolicyStub </item>
+ <item> _Remote_Stub </item>
+ </list>
+
+ <list name="keywords">
+ <item> abstract </item>
+ <item> break </item>
+ <item> case </item>
+ <item> catch </item>
+ <item> class </item>
+ <item> continue </item>
+ <item> default </item>
+ <item> do </item>
+ <item> else </item>
+ <item> extends </item>
+ <item> false </item>
+ <item> finally </item>
+ <item> for </item>
+ <item> goto </item>
+ <item> if </item>
+ <item> implements </item>
+ <item> import </item>
+ <item> instanceof </item>
+ <item> interface </item>
+ <item> native </item>
+ <item> new </item>
+ <item> null </item>
+ <item> package </item>
+ <item> private </item>
+ <item> protected </item>
+ <item> public </item>
+ <item> return </item>
+ <item> super </item>
+ <item> strictfp </item>
+ <item> switch </item>
+ <item> synchronized </item>
+ <item> this </item>
+ <item> throws </item>
+ <item> throw </item>
+ <item> transient </item>
+ <item> true </item>
+ <item> try </item>
+ <item> volatile </item>
+ <item> while </item>
+ </list>
+ <list name="types">
+ <item> boolean </item>
+ <item> byte </item>
+ <item> char </item>
+ <item> const </item>
+ <item> double </item>
+ <item> final </item>
+ <item> float </item>
+ <item> int </item>
+ <item> long </item>
+ <item> short </item>
+ <item> static </item>
+ <item> void </item>
+ </list>
+ <contexts>
+ <context attribute="0" lineEndContext="0" name="Normal">
+ <keyword attribute="1" context="0" String="keywords"/>
+ <keyword attribute="2" context="0" String="types"/>
+ <keyword attribute="Java13" context="0" String="java13"/>
+ <Float attribute="6" context="0">
+ <AnyChar String="fF" attribute="6" context="0"/>
+ </Float>
+ <HlCOct attribute="4" context="0"/>
+ <HlCHex attribute="5" context="0"/>
+ <Int attribute="3" context="0">
+ <StringDetect attribute="3" context="0" String="ULL" insensitive="TRUE"/>
+ <StringDetect attribute="3" context="0" String="LUL" insensitive="TRUE"/>
+ <StringDetect attribute="3" context="0" String="LLU" insensitive="TRUE"/>
+ <StringDetect attribute="3" context="0" String="UL" insensitive="TRUE"/>
+ <StringDetect attribute="3" context="0" String="LU" insensitive="TRUE"/>
+ <StringDetect attribute="3" context="0" String="LL" insensitive="TRUE"/>
+ <StringDetect attribute="3" context="0" String="U" insensitive="TRUE"/>
+ <StringDetect attribute="3" context="0" String="L" insensitive="TRUE"/>
+ </Int>
+ <HlCChar attribute="7" context="0"/>
+ <DetectChar attribute="8" context="1" char="&quot;"/>
+ <Detect2Chars attribute="10" context="2" char="/" char1="/"/>
+ <Detect2Chars attribute="10" context="3" char="/" char1="*"/>
+ <AnyChar attribute="11" context="0" String="!%&amp;()+,-&lt;=&gt;?[]^{|}~"/>
+ </context>
+ <context attribute="8" lineEndContext="0" name="String">
+ <LineContinue attribute="8" context="#stay"/>
+ <HlCStringChar attribute="9" context="1"/>
+ <DetectChar attribute="8" context="0" char="&quot;"/>
+ </context>
+ <context attribute="10" lineEndContext="0" name="Commentar 1"/>
+ <context attribute="10" lineEndContext="3" name="Commentar 2">
+ <Detect2Chars attribute="10" context="0" char="*" char1="/"/>
+ </context>
+ </contexts>
+ <itemDatas>
+ <itemData name="Normal Text" defStyleNum="dsNormal"/>
+ <itemData name="Keyword" defStyleNum="dsKeyword"/>
+ <itemData name="Data Type" defStyleNum="dsDataType"/>
+ <itemData name="Decimal" defStyleNum="dsDecVal"/>
+ <itemData name="Octal" defStyleNum="dsBaseN"/>
+ <itemData name="Hex" defStyleNum="dsBaseN"/>
+ <itemData name="Float" defStyleNum="dsFloat"/>
+ <itemData name="Char" defStyleNum="dsChar"/>
+ <itemData name="String" defStyleNum="dsString"/>
+ <itemData name="String Char" defStyleNum="dsChar"/>
+ <itemData name="Comment" defStyleNum="dsComment"/>
+ <itemData name="Symbol" defStyleNum="dsNormal"/>
+ <itemData name="Java13" defStyleNum="dsNormal" color="#0095FF" selColor="#FFFFFF" bold="1" italic="0"/>
+ </itemDatas>
+ </highlighting>
+ <general>
+ <comments>
+ <comment name="singleLine" start="//"/>
+ <comment name="multiLine" start="/*" end="*/"/>
+ </comments>
+ <keywords casesensitive="1"/>
+ </general>
+</language>
diff --git a/share/tinykate/syntax/javascript.xml b/share/tinykate/syntax/javascript.xml
new file mode 100644
index 0000000..e2f05d8
--- a/dev/null
+++ b/share/tinykate/syntax/javascript.xml
@@ -0,0 +1,117 @@
+<?xml version="1.0" encoding="UTF-8"?>
+<!DOCTYPE language SYSTEM "language.dtd">
+<!-- Author: Anders Lund <anders@alweb.dk> //-->
+<!-- Minimal javascript support //-->
+<language name="JavaScript" version="0.1" kateversion="2.0" section="Scripts" extensions="*.js" mimetype="text/x-javascript">
+ <highlighting>
+ <list name="keywords">
+ <item> if </item>
+ <item> else </item>
+ <item> for </item>
+ <item> in </item>
+ <item> while </item>
+ <item> do </item>
+ <item> continue </item>
+ <item> break </item>
+ <item> with </item>
+ <item> try </item>
+ <item> catch </item>
+ <item> switch </item>
+ <item> case </item>
+ <item> new </item>
+ <item> var </item>
+ <item> function </item>
+ <item> return </item>
+ <item> this </item>
+ <item> delete </item>
+ <item> true </item>
+ <item> false </item>
+ <item> void </item>
+ <item> throw </item>
+ <item> typeof </item>
+ <item> const </item>
+ <item> default </item>
+ </list>
+ <contexts>
+ <context attribute="0" lineEndContext="#stay" name="Normal">
+ <Float attribute="2" context="0">
+ <AnyChar String="fF" attribute="2" context="0" />
+ </Float>
+ <Int attribute="2" context="#stay" />
+ <keyword attribute="1" context="0" String="keywords" />
+ <DetectChar attribute="3" context="1" char="&quot;" />
+ <DetectChar attribute="3" context="2" char="'" />
+ <Detect2Chars attribute="5" context="4" char="/" char1="/" />
+ <Detect2Chars attribute="5" context="5" char="/" char1="*" />
+ <RegExpr attribute="0" context="7" String="\s*[=?:]\s*" />
+ <RegExpr attribute="0" context="7" String="(search|replace)\s*\(\s*" />
+ </context>
+ <context attribute="3" lineEndContext="#stay" name="String">
+ <Detect2Chars attribute="3" context="#stay" char="\" char1="&quot;" />
+ <HlCStringChar attribute="4" context="#stay" />
+ <DetectChar attribute="3" context="#pop" char="&quot;" />
+ </context>
+ <context attribute="3" lineEndContext="#stay" name="String (2)">
+ <Detect2Chars attribute="3" context="#stay" char="\" char1="'" />
+ <HlCStringChar attribute="4" context="#stay" />
+ <DetectChar attribute="3" context="#pop" char="'" />
+ </context>
+ <context attribute="0" lineEndContext="0" name="Comment common">
+ <RegExpr attribute="6" context= "#stay" String="\b(?:fixme|todo|note)\b" insensitive="TRUE" />
+ </context>
+ <context attribute="5" lineEndContext="#pop" name="Single Line Comment">
+ <IncludeRules context="3" />
+ </context>
+ <context attribute="5" lineEndContext="#stay" name="Multiline/Inline Comment">
+ <Detect2Chars attribute="5" context="#pop" char="*" char1="/" />
+ <IncludeRules context="3" />
+ </context>
+ <context attribute="7" lineEndContext="#stay" name="Regular Expression">
+ <RegExpr attribute="7" context="#pop#pop#pop" String="/[ig]{0,2}" />
+ <RegExpr attribute="8" context="#stay" String="\{[\d, ]+\}" />
+ <RegExpr attribute="8" context="#stay" String="\\[bB]" />
+ <RegExpr attribute="9" context="#stay" String="\\[nrtvfDdSsWw]" />
+ <RegExpr attribute="7" context="#stay" String="\\." />
+ <DetectChar attribute="9" context="10" char="[" />
+ <RegExpr attribute="8" context="#stay" String="\$(?=/)" />
+ <AnyChar attribute="8" context="#stay" String="?+*()|" />
+ </context>
+ <context attribute="0" lineEndContext="#stay" name="(Internal regex catch)" fallthrough="true" fallthroughContext="#pop">
+ <RegExpr attribute="0" context="#stay" String="\s*" />
+ <RegExpr attribute="7" context="#pop" String="//(?=;)" />
+ <Detect2Chars attribute="5" context="4" char="/" char1="/" />
+ <Detect2Chars attribute="5" context="5" char="/" char1="*" />
+ <DetectChar attribute="7" context="9" char="/" />
+ </context>
+ <context attribute="9" lineEndcontext="#stay" name="Regular Expression Character Class">
+ <RegExpr attribute="9" context="#stay" String="\\[\[\]]" />
+ <DetectChar attribute="9" context="#pop#pop" char="]" />
+ </context>
+ <context attribute="8" lineEndContext="#pop" name="(regex caret first check)" fallthrough="true" fallthroughContext="6">
+ <DetectChar attribute="8" context="6" char="^" />
+ </context>
+ <context attribute="8" lineEndContext="#pop" name="(charclass caret first check)" fallthrough="true" fallthroughContext="8">
+ <DetectChar attribute="8" context="8" char="^" />
+ </context>
+ </contexts>
+ <itemDatas>
+ <itemData name="Normal Text" defStyleNum="dsNormal" />
+ <itemData name="Keyword" defStyleNum="dsKeyword" />
+ <itemData name="Number" defStyleNum="dsDecVal" />
+ <itemData name="String" defStyleNum="dsString" />
+ <itemData name="String Char" defStyleNum="dsChar" />
+ <itemData name="Comment" defStyleNum="dsComment" />
+ <itemData name="Note" defStyleNum="dsDecVal" />
+ <itemData name="Regular Expression" defStyleNum="dsOthers" />
+ <itemData name="Pattern Internal Operator" defStyleNum="dsFloat" />
+ <itemData name="Pattern Character Class" defStyleNum="dsBaseN" />
+ </itemDatas>
+ </highlighting>
+ <general>
+ <comments>
+ <comment name="singleLine" start="//" />
+ <comment name="multiLine" start="/*" end="*/" />
+ </comments>
+ <keywords casesensitive="1" />
+ </general>
+</language>
diff --git a/share/tinykate/syntax/kbasic.xml b/share/tinykate/syntax/kbasic.xml
new file mode 100644
index 0000000..1a57dc7
--- a/dev/null
+++ b/share/tinykate/syntax/kbasic.xml
@@ -0,0 +1,97 @@
+<?xml version="1.0" encoding="UTF-8"?>
+<!DOCTYPE language SYSTEM "language.dtd">
+<language name="KBasic" version="1.00" kateversion="2.0" section="Sources" extensions="*.kbasic" mimetype="text/x-kbasic-src">
+ <highlighting>
+ <list name="keywords">
+ <item> For </item>
+ <item> Next</item>
+ <item> Do </item>
+ <item> Loop </item>
+ <item> While </item>
+ <item> Wend </item>
+ <item> Until </item>
+ <item> If </item>
+ <item> Else </item>
+ <item> End </item>
+ <item> Function </item>
+ <item> Goto </item>
+ <item> Sub </item>
+ <item> Implements </item>
+ <item> In </item>
+ <item> Sub </item>
+ <item> Private </item>
+ <item> Public </item>
+ <item> Global </item>
+ <item> As </item>
+ <item> Dim </item>
+ <item> Set </item>
+ <item> Let </item>
+ <item> Get </item>
+ <item> To </item>
+ <item> Property </item>
+ <item> True </item>
+ <item> False </item>
+ <item> Or </item>
+ <item> Not </item>
+ <item> Xor </item>
+ <item> And </item>
+ <item> Then </item>
+ <item> Exit </item>
+ <item> Put </item>
+ <item> Open </item>
+ <item> Close </item>
+ <item> Seek </item>
+ <item> Print </item>
+ <item> Input</item>
+ <item> Output </item>
+ <item> Repeat </item>
+ <item> Load </item>
+ <item> Unload </item>
+ <item> Declare </item>
+ <item> Option </item>
+ <item> Explicit </item>
+ </list>
+ <list name="types">
+ <item>Integer </item>
+ <item>Long </item>
+ <item>Byte </item>
+ <item>Boolean </item>
+ <item>Variant </item>
+ <item>Single </item>
+ <item>Double </item>
+ <item>Currency </item>
+ <item>String </item>
+ <item>Object </item>
+ <item>Control </item>
+ </list>
+ <contexts>
+ <context attribute="0" lineEndContext="0">
+ <keyword attribute="1" context="0" String="keywords"/>
+ <keyword attribute="2" context="0" String="types"/>
+ <Float attribute="4" context="0"/>
+ <Int attribute="3" context="0"/>
+ <DetectChar attribute="4" context="2" char="quot;"/>
+ <DetectChar attribute="5" context="1" char="'"/>
+ </context>
+ <context attribute="5" lineEndContext="0"/>
+ <context attribute="4" lineEndContext="0">
+ <DetectChar attribute="4" context="0" char="'"/>
+ </context>
+ </contexts>
+ <itemDatas>
+ <itemData name="Normal Text" defStyleNum="dsNormal"/>
+ <itemData name="Keyword" defStyleNum="dsKeyword"/>
+ <itemData name="Identifier" defStyleNum="dsOthers"/>
+ <itemData name="Types" defStyleNum="dsDataType"/>
+ <itemData name="String" defStyleNum="dsString"/>
+ <itemData name="Comment" defStyleNum="dsComment"/>
+ </itemDatas>
+ </highlighting>
+ <general>
+ <comments>
+ <comment name="singleLine" start="//" />
+ <comment name="multiLine" start="/*" end="*/" />
+ </comments>
+ <keywords casesensitive="1" />
+ </general>
+</language>
diff --git a/share/tinykate/syntax/latex.xml b/share/tinykate/syntax/latex.xml
new file mode 100644
index 0000000..8ec9870
--- a/dev/null
+++ b/share/tinykate/syntax/latex.xml
@@ -0,0 +1,334 @@
+<?xml version="1.01" encoding="UTF-8"?>
+<!DOCTYPE language SYSTEM "language.dtd">
+<language name="LaTeX" version="1.00" kateversion="2.0" section="Markup" extensions="*.tex;*.TEX;*.bib;*.BIB;*.ltx;*.LTX;*.sty;*.STY;*.cls;*.CLS" mimetype="text/x-tex" casesensitive="1">
+ <highlighting>
+ <list name="keywords">
+ <item> begin</item>
+ <item> itemize</item>
+ <item>abovedisplayskip</item>
+ <item>abovedisplayshortskip</item>
+ <item>abstract</item>
+ <item>acute</item>
+ <item>addcontentsline</item>
+ <item>address</item>
+ <item>addtocontents</item>
+ <item>addtocounter</item>
+ <item>addtolength</item>
+ <item>addvspace</item>
+ <item>Alph</item>
+ <item>alph</item>
+ <item>and</item>
+ <item>appendix</item>
+ <item>arabic</item>
+ <item>array</item>
+ <item>arraycolsep</item>
+ <item>arrayrulewidth</item>
+ <item>arraystretch</item>
+ <item>author</item>
+ <item>bar</item>
+ <item>baselineskip</item>
+ <item>baselinestretch</item>
+ <item>begin</item>
+ <item>belowdisplayskip</item>
+ <item>belowdisplayshortskip</item>
+ <item>bf</item>
+ <item>bibitem</item>
+ <item>bigskip</item>
+ <item>bigskipamount</item>
+ <item>boldmath</item>
+ <item>bottomfraction</item>
+ <item>bottomnumber</item>
+ <item>breve</item>
+ <item>cal</item>
+ <item>caption</item>
+ <item>cc</item>
+ <item>center</item>
+ <item>centering</item>
+ <item>centerline</item>
+ <item>chapter</item>
+ <item>check</item>
+ <item>circle</item>
+ <item>cite</item>
+ <item>cleardoublepage</item>
+ <item>clearpage</item>
+ <item>cline</item>
+ <item>closing</item>
+ <item>columnsep</item>
+ <item>columnseprule</item>
+ <item>dashbox</item>
+ <item>date</item>
+ <item>dblfloatpagefraction</item>
+ <item>dblfloatsep</item>
+ <item>dbltextfloatsep</item>
+ <item>dbltopfraction</item>
+ <item>dbltopnumber</item>
+ <item>ddot</item>
+ <item>description</item>
+ <item>discretionary</item>
+ <item>displaymath</item>
+ <item>displaystyle</item>
+ <item>document</item>
+ <item>documentclass</item>
+ <item>documentstyle</item>
+ <item>dot</item>
+ <item>dotfill</item>
+ <item>doublerulesep</item>
+ <item>em</item>
+ <item>emph</item>
+ <item>encl</item>
+ <item>end</item>
+ <item>enumerate</item>
+ <item>eqnarray</item>
+ <item>equation</item>
+ <item>evensidemargin</item>
+ <item>extracolsep</item>
+ <item>fbox</item>
+ <item>fboxrule</item>
+ <item>fboxsep</item>
+ <item>figure</item>
+ <item>fill</item>
+ <item>floatpagefraction</item>
+ <item>floatsep</item>
+ <item>flushbottom</item>
+ <item>flushleft</item>
+ <item>flushright</item>
+ <item>fnsymbol</item>
+ <item>footheight</item>
+ <item>footnote</item>
+ <item>footnotemark</item>
+ <item>footnotesep</item>
+ <item>footnotesize</item>
+ <item>footnotetext</item>
+ <item>footskip</item>
+ <item>frac</item>
+ <item>frame</item>
+ <item>framebox</item>
+ <item>frenchspacing</item>
+ <item>fussy</item>
+ <item>fussypar</item>
+ <item>grave</item>
+ <item>hat</item>
+ <item>headheight</item>
+ <item>headsep</item>
+ <item>hfill</item>
+ <item>hline</item>
+ <item>hoffset</item>
+ <item>hrulefill</item>
+ <item>hspace</item>
+ <item>Huge</item>
+ <item>huge</item>
+ <item>imath</item>
+ <item>include</item>
+ <item>includeonly</item>
+ <item>indent</item>
+ <item>input</item>
+ <item>intextsep</item>
+ <item>it</item>
+ <item>item</item>
+ <item>itemize</item>
+ <item>itemsep</item>
+ <item>jmath</item>
+ <item>jot</item>
+ <item>kill</item>
+ <item>label</item>
+ <item>LARGE</item>
+ <item>Large</item>
+ <item>large</item>
+ <item>LaTeX</item>
+ <item>LaTeXe</item>
+ <item>letter</item>
+ <item>line</item>
+ <item>linebreak</item>
+ <item>linethickness</item>
+ <item>listoffigures</item>
+ <item>listoftables</item>
+ <item>makebox</item>
+ <item>maketitle</item>
+ <item>marginpar</item>
+ <item>marginparpush</item>
+ <item>marginparsep</item>
+ <item>marginparwidth</item>
+ <item>markboth</item>
+ <item>markright</item>
+ <item>math</item>
+ <item>mathindent</item>
+ <item>mbox</item>
+ <item>medskip</item>
+ <item>medskipamount</item>
+ <item>minipage</item>
+ <item>multicolumn</item>
+ <item>multiput</item>
+ <item>name</item>
+ <item>newcommand</item>
+ <item>newcounter</item>
+ <item>newenvironment</item>
+ <item>newfont</item>
+ <item>newlength</item>
+ <item>newline</item>
+ <item>newpage</item>
+ <item>newsavebox</item>
+ <item>newtheorem</item>
+ <item>noindent</item>
+ <item>nolinebreak</item>
+ <item>nonfrenchspacing</item>
+ <item>nonumber</item>
+ <item>nopagebreak</item>
+ <item>normalmarginpar</item>
+ <item>normalsize</item>
+ <item>numberline</item>
+ <item>oddsidemargin</item>
+ <item>onecolumn</item>
+ <item>opening</item>
+ <item>oval</item>
+ <item>overbrace</item>
+ <item>overline</item>
+ <item>pagebreak</item>
+ <item>pagenumbering</item>
+ <item>pageref</item>
+ <item>pagestyle</item>
+ <item>par</item>
+ <item>paragraph</item>
+ <item>parbox</item>
+ <item>parindent</item>
+ <item>parsep</item>
+ <item>parskip</item>
+ <item>part</item>
+ <item>picture</item>
+ <item>poptabs</item>
+ <item>protect</item>
+ <item>ps</item>
+ <item>pushtabs</item>
+ <item>put</item>
+ <item>quotation</item>
+ <item>quote</item>
+ <item>raggedbottom</item>
+ <item>raggedleft</item>
+ <item>raggedright</item>
+ <item>raisebox</item>
+ <item>ref</item>
+ <item>refstepcounter</item>
+ <item>renewcommand</item>
+ <item>renewenvironment</item>
+ <item>reversemarginpar</item>
+ <item>rm</item>
+ <item>Roman</item>
+ <item>roman</item>
+ <item>rule</item>
+ <item>samepage(Befehl)</item>
+ <item>samepage(Umgebung)</item>
+ <item>savebox</item>
+ <item>sbox</item>
+ <item>sc</item>
+ <item>scriptscriptstyle</item>
+ <item>scriptsize</item>
+ <item>scriptstyle</item>
+ <item>section</item>
+ <item>setcounter</item>
+ <item>setlanguage</item>
+ <item>setlength</item>
+ <item>settowidth</item>
+ <item>sf</item>
+ <item>shortstack</item>
+ <item>signature</item>
+ <item>sl</item>
+ <item>sloppy</item>
+ <item>sloppypar</item>
+ <item>small</item>
+ <item>smallskip</item>
+ <item>smallskipamount</item>
+ <item>sqrt</item>
+ <item>stackrel</item>
+ <item>stepcounter</item>
+ <item>subparagraph</item>
+ <item>subsection</item>
+ <item>subsubsection</item>
+ <item>symbol</item>
+ <item>tabbing</item>
+ <item>tabbingsep</item>
+ <item>tabcolsep</item>
+ <item>table</item>
+ <item>tableofcontents</item>
+ <item>tabular</item>
+ <item>TeX</item>
+ <item>textbf</item>
+ <item>textit</item>
+ <item>textfraction</item>
+ <item>textfloatsep</item>
+ <item>textheight</item>
+ <item>textmd</item>
+ <item>textrm</item>
+ <item>textsc</item>
+ <item>textsf</item>
+ <item>textsl</item>
+ <item>textstyle</item>
+ <item>texttt</item>
+ <item>textup</item>
+ <item>textwidth</item>
+ <item>thanks</item>
+ <item>thebibliography</item>
+ <item>thicklines</item>
+ <item>thinlines</item>
+ <item>thispagestyle</item>
+ <item>tilde</item>
+ <item>tiny</item>
+ <item>title</item>
+ <item>titlepage</item>
+ <item>today</item>
+ <item>topfraction</item>
+ <item>topmargin</item>
+ <item>topnumber</item>
+ <item>topsep</item>
+ <item>topskip</item>
+ <item>totalnumber</item>
+ <item>tt</item>
+ <item>twocolumn</item>
+ <item>typein</item>
+ <item>typeout</item>
+ <item>unboldmath</item>
+ <item>underbrace</item>
+ <item>underline</item>
+ <item>unitlength</item>
+ <item>usebox</item>
+ <item>usepackage</item>
+ <item>value</item>
+ <item>vec</item>
+ <item>vector</item>
+ <item>verb</item>
+ <item>verbatim</item>
+ <item>verse</item>
+ <item>vfill</item>
+ <item>vline</item>
+ <item>voffset</item>
+ <item>vspace</item>
+ <item>widehat</item>
+ <item>widetilde</item>
+ </list>
+ <contexts>
+ <context attribute="0" lineEndContext="0">
+ <StringDetect String="\begin{" attribute="4" context="1" insensitive="FALSE"/>
+ <StringDetect String="\end{" attribute="4" context="1" insensitive="FALSE"/>
+ <DetectChar char="\" attribute="2" context="2" />
+ <RegExpr String="%.*$" attribute="3" context="0"/>
+ </context>
+ <context attribute="0" lineEndContext="1">
+ <keyword String="keywords" attribute="1" context="1"/>
+ <DetectChar char="}" attribute="4" context="0"/>
+ </context>
+ <context attribute="0" lineEndContext="0">
+ <keyword String="keywords" attribute="2" context="0" />
+ </context>
+ </contexts>
+ <itemDatas>
+ <itemData name="Normal Text" defStyleNum="dsNormal"/>
+ <itemData name="Keyword" defStyleNum="dsNormal" color="#0000ff" selColor="#ff0000" bold="1" italic="1"/>
+ <itemData name="Comment" defStyleNum="dsComment"/>
+ <itemData name="Begin End" defStyleNum="dsNormal" color="#000000" selColor="#ffffff" bold="1" italic="1"/>
+
+ </itemDatas>
+ </highlighting>
+ <general>
+ <comments>
+ <comment name="singleLine" start="%" />
+ </comments>
+ </general>
+</language>
diff --git a/share/tinykate/syntax/literate-haskell.xml b/share/tinykate/syntax/literate-haskell.xml
new file mode 100644
index 0000000..14b36be
--- a/dev/null
+++ b/share/tinykate/syntax/literate-haskell.xml
@@ -0,0 +1,387 @@
+<?xml version="1.0" encoding="UTF-8"?>
+<!DOCTYPE language SYSTEM "language.dtd">
+<!-- literate Haskell syntax highlighting by Marcel Martin <mmar@freenet.de> -->
+<language name="Literate Haskell" version="1.00" kateversion="2.0" section="Sources" extensions="*.lhs">
+ <highlighting>
+ <list name="keywords">
+ <item> case </item>
+ <item> class </item>
+ <item> data </item>
+ <item> deriving </item>
+ <item> do </item>
+ <item> else </item>
+ <item> if </item>
+ <item> in </item>
+ <item> infixl </item>
+ <item> infixr </item>
+ <item> instance </item>
+ <item> let </item>
+ <item> module </item>
+ <item> of </item>
+ <item> primitive </item>
+ <item> then </item>
+ <item> type </item>
+ <item> where </item>
+ </list>
+ <list name="infix operators">
+ <item> quot </item>
+ <item> rem </item>
+ <item> div </item>
+ <item> mod </item>
+ <item> elem </item>
+ <item> notElem </item>
+ <item> seq </item>
+ </list>
+ <list name="functions">
+ <!--
+ These operators are not handled yet.
+ <item> !! </item>
+ <item> % </item>
+ <item> && </item>
+ <item> $! </item>
+ <item> $ </item>
+ <item> * </item>
+ <item> ** </item>
+ <item> - </item>
+ <item> . </item>
+ <item> /= </item>
+ <item> < </item>
+ <item> <= </item>
+ <item> =<< </item>
+ <item> == </item>
+ <item> > </item>
+ <item> >= </item>
+ <item> >> </item>
+ <item> >>= </item>
+ <item> ^ </item>
+ <item> ^^ </item>
+ <item> ++ </item>
+ <item> || </item>
+ //-->
+
+ <item> FilePath </item>
+ <item> IOError </item>
+ <item> abs </item>
+ <item> acos </item>
+ <item> acosh </item>
+ <item> all </item>
+ <item> and </item>
+ <item> any </item>
+ <item> appendFile </item>
+ <item> approxRational </item>
+ <item> asTypeOf </item>
+ <item> asin </item>
+ <item> asinh </item>
+ <item> atan </item>
+ <item> atan2 </item>
+ <item> atanh </item>
+ <item> basicIORun </item>
+ <item> break </item>
+ <item> catch </item>
+ <item> ceiling </item>
+ <item> chr </item>
+ <item> compare </item>
+ <item> concat </item>
+ <item> concatMap </item>
+ <item> const </item>
+ <item> cos </item>
+ <item> cosh </item>
+ <item> curry </item>
+ <item> cycle </item>
+ <item> decodeFloat </item>
+ <item> denominator </item>
+ <item> digitToInt </item>
+ <item> div </item>
+ <item> divMod </item>
+ <item> drop </item>
+ <item> dropWhile </item>
+ <item> either </item>
+ <item> elem </item>
+ <item> encodeFloat </item>
+ <item> enumFrom </item>
+ <item> enumFromThen </item>
+ <item> enumFromThenTo </item>
+ <item> enumFromTo </item>
+ <item> error </item>
+ <item> even </item>
+ <item> exp </item>
+ <item> exponent </item>
+ <item> fail </item>
+ <item> filter </item>
+ <item> flip </item>
+ <item> floatDigits </item>
+ <item> floatRadix </item>
+ <item> floatRange </item>
+ <item> floor </item>
+ <item> fmap </item>
+ <item> foldl </item>
+ <item> foldl1 </item>
+ <item> foldr </item>
+ <item> foldr1 </item>
+ <item> fromDouble </item>
+ <item> fromEnum </item>
+ <item> fromInt </item>
+ <item> fromInteger </item>
+ <item> fromIntegral </item>
+ <item> fromRational </item>
+ <item> fst </item>
+ <item> gcd </item>
+ <item> getChar </item>
+ <item> getContents </item>
+ <item> getLine </item>
+ <item> head </item>
+ <item> id </item>
+ <item> inRange </item>
+ <item> index </item>
+ <item> init </item>
+ <item> intToDigit </item>
+ <item> interact </item>
+ <item> ioError </item>
+ <item> isAlpha </item>
+ <item> isAlphaNum </item>
+ <item> isAscii </item>
+ <item> isControl </item>
+ <item> isDenormalized </item>
+ <item> isDigit </item>
+ <item> isHexDigit </item>
+ <item> isIEEE </item>
+ <item> isInfinite </item>
+ <item> isLower </item>
+ <item> isNaN </item>
+ <item> isNegativeZero </item>
+ <item> isOctDigit </item>
+ <item> isPrint </item>
+ <item> isSpace </item>
+ <item> isUpper </item>
+ <item> iterate </item>
+ <item> last </item>
+ <item> lcm </item>
+ <item> length </item>
+ <item> lex </item>
+ <item> lexDigits </item>
+ <item> lexLitChar </item>
+ <item> lines </item>
+ <item> log </item>
+ <item> logBase </item>
+ <item> lookup </item>
+ <item> map </item>
+ <item> mapM </item>
+ <item> mapM_ </item>
+ <item> max </item>
+ <item> maxBound </item>
+ <item> maximum </item>
+ <item> maybe </item>
+ <item> min </item>
+ <item> minBound </item>
+ <item> minimum </item>
+ <item> mod </item>
+ <item> negate </item>
+ <item> not </item>
+ <item> notElem </item>
+ <item> null </item>
+ <item> numerator </item>
+ <item> odd </item>
+ <item> or </item>
+ <item> ord </item>
+ <item> otherwise </item>
+ <item> pi </item>
+ <item> pred </item>
+ <item> primExitWith </item>
+ <item> print </item>
+ <item> product </item>
+ <item> properFraction </item>
+ <item> putChar </item>
+ <item> putStr </item>
+ <item> putStrLn </item>
+ <item> quot </item>
+ <item> quotRem </item>
+ <item> range </item>
+ <item> rangeSize </item>
+ <item> read </item>
+ <item> readDec </item>
+ <item> readFile </item>
+ <item> readFloat </item>
+ <item> readHex </item>
+ <item> readIO </item>
+ <item> readInt </item>
+ <item> readList </item>
+ <item> readLitChar </item>
+ <item> readLn </item>
+ <item> readOct </item>
+ <item> readParen </item>
+ <item> readSigned </item>
+ <item> reads </item>
+ <item> readsPrec </item>
+ <item> realToFrac </item>
+ <item> recip </item>
+ <item> rem </item>
+ <item> repeat </item>
+ <item> replicate </item>
+ <item> return </item>
+ <item> reverse </item>
+ <item> round </item>
+ <item> scaleFloat </item>
+ <item> scanl </item>
+ <item> scanl1 </item>
+ <item> scanr </item>
+ <item> scanr1 </item>
+ <item> seq </item>
+ <item> sequence </item>
+ <item> sequence_ </item>
+ <item> show </item>
+ <item> showChar </item>
+ <item> showInt </item>
+ <item> showList </item>
+ <item> showLitChar </item>
+ <item> showParen </item>
+ <item> showSigned </item>
+ <item> showString </item>
+ <item> shows </item>
+ <item> showsPrec </item>
+ <item> significand </item>
+ <item> signum </item>
+ <item> sin </item>
+ <item> sinh </item>
+ <item> snd </item>
+ <item> span </item>
+ <item> splitAt </item>
+ <item> sqrt </item>
+ <item> subtract </item>
+ <item> succ </item>
+ <item> sum </item>
+ <item> tail </item>
+ <item> take </item>
+ <item> takeWhile </item>
+ <item> tan </item>
+ <item> tanh </item>
+ <item> threadToIOResult </item>
+ <item> toEnum </item>
+ <item> toInt </item>
+ <item> toInteger </item>
+ <item> toLower </item>
+ <item> toRational </item>
+ <item> toUpper </item>
+ <item> truncate </item>
+ <item> uncurry </item>
+ <item> undefined </item>
+ <item> unlines </item>
+ <item> until </item>
+ <item> unwords </item>
+ <item> unzip </item>
+ <item> unzip3 </item>
+ <item> userError </item>
+ <item> words </item>
+ <item> writeFile </item>
+ <item> zip </item>
+ <item> zip3 </item>
+ <item> zipWith </item>
+ <item> zipWith3 </item>
+ </list>
+ <list name="type constructors">
+ <item> Bool </item>
+ <item> Char </item>
+ <item> Double </item>
+ <item> Either </item>
+ <item> Float </item>
+ <item> IO </item>
+ <item> Integer </item>
+ <item> Int </item>
+ <item> Maybe </item>
+ <item> Ordering </item>
+ <item> Rational </item>
+ <item> Ratio </item>
+ <item> ReadS </item>
+ <item> ShowS </item>
+ <item> String </item>
+
+ </list>
+ <list name="classes">
+ <item> Bounded </item>
+ <item> Enum </item>
+ <item> Eq </item>
+ <item> Floating </item>
+ <item> Fractional </item>
+ <item> Functor </item>
+ <item> Integral </item>
+ <item> Ix </item>
+ <item> Monad </item>
+ <item> Num </item>
+ <item> Ord </item>
+ <item> Read </item>
+ <item> RealFloat </item>
+ <item> RealFrac </item>
+ <item> Real </item>
+ <item> Show </item>
+ </list>
+ <list name="data constructors">
+ <item> EQ </item>
+ <item> False </item>
+ <item> GT </item>
+ <item> Just </item>
+ <item> LT </item>
+ <item> Left </item>
+ <item> Nothing </item>
+ <item> Right </item>
+ <item> True </item>
+ </list>
+ <contexts>
+ <context attribute="Comment" lineEndContext="#stay" name="literate-normal">
+ <RegExpr attribute="Special" context="normal" String="^&gt;\s*" />
+ </context>
+ <context attribute="Normal Text" lineEndContext="literate-normal" name="normal">
+ <Detect2Chars attribute="Comment" context="comment_multi_line" char="{" char1="-" />
+ <Detect2Chars attribute="Comment" context="comment_single_line" char="-" char1="-" />
+ <keyword attribute="Keyword" context="#stay" String="keywords" />
+ <keyword attribute="Class" context="#stay" String="classes" />
+ <keyword attribute="Type Constructor" context="#stay" String="type constructors" />
+ <keyword attribute="Function" context="#stay" String="functions" />
+ <keyword attribute="Data Constructor" context="#stay" String="data constructors" />
+ <DetectChar attribute="String" context="string" char="&quot;" />
+ <DetectChar attribute="Infix Operator" context="infix" char="`"/>
+ <RegExpr attribute="Normal Text" context="#stay" String="[A-Za-z0-9_][']+" />
+ <DetectChar attribute="Char" context="single_char" char="'" />
+ <RegExpr attribute="Function Definition" context="#stay" String="\s*[a-z_]+[A-Za-z_0-9]*'*\s*::" />
+ <Float attribute="Float" context="#stay" />
+ <Int attribute="Decimal" context="#stay" />
+ </context>
+ <context attribute="Comment" lineEndContext="#pop" name="comment_single_line" />
+ <context attribute="Comment" lineEndContext="#stay" name="comment_multi_line">
+ <Detect2Chars attribute="Comment" context="#pop" char="-" char1="}" />
+ </context>
+ <context attribute="String" lineEndContext="#stay" name="string">
+ <RegExpr attribute="String" context="#stay" String="\\." />
+ <DetectChar attribute="String" context="#pop" char="&quot;" />
+ </context>
+ <context attribute="Infix Operator" lineEndContext="#stay" name="infix">
+ <DetectChar attribute="Infix Operator" context="#pop" char="`"/>
+ </context>
+ <context attribute="Char" lineEndContext="#pop" name="single_char">
+ <RegExpr attribute="Char" context="#stay" String="\\." />
+ <DetectChar attribute="Char" context="#pop" char="'" />
+ </context>
+ <context attribute="Function Definition" lineEndContext="#pop" name="function_definition">
+ <DetectChar attribute="Function Definition" context="#pop" char=";" />
+ </context>
+ </contexts>
+ <itemDatas>
+ <itemData name="Normal Text" defStyleNum="dsNormal"/>
+ <itemData name="Keyword" defStyleNum="dsKeyword"/>
+ <itemData name="Function" defStyleNum="dsOthers"/>
+ <itemData name="Function Definition" defStyleNum="dsOthers"/>
+ <itemData name="Class" defStyleNum="dsKeyword"/>
+ <itemData name="Decimal" defStyleNum="dsDecVal"/>
+ <itemData name="Float" defStyleNum="dsFloat"/>
+ <itemData name="Char" defStyleNum="dsChar"/>
+ <itemData name="String" defStyleNum="dsString"/>
+ <itemData name="Constructor" defStyleNum="dsOthers"/>
+ <itemData name="Comment" defStyleNum="dsComment"/>
+ <itemData name="Data Constructor" defStyleNum="dsKeyword"/>
+ <itemData name="Type Constructor" defStyleNum="dsDataType"/>
+ <itemData name="Infix Operator" defStyleNum="dsOthers"/>
+ <itemData name="Special" defStyleNum="dsChar"/>
+ </itemDatas>
+ </highlighting>
+ <general>
+ <keywords casesensitive="1" />
+ </general>
+</language>
diff --git a/share/tinykate/syntax/mab.xml b/share/tinykate/syntax/mab.xml
new file mode 100644
index 0000000..2901dd8
--- a/dev/null
+++ b/share/tinykate/syntax/mab.xml
@@ -0,0 +1,32 @@
+<?xml version="1.0" encoding="UTF-8"?>
+<!DOCTYPE language SYSTEM "language.dtd">
+<language name="MAB-DB" version="1.00" kateversion="2.0" extensions="*.mab;*.MAB;*.Mab" section="Markup" mimetype="text/x-mab" casesensitive="0">
+ <highlighting>
+ <contexts>
+ <context attribute="0" name="Section" lineEndContext="0" >
+ <RegExpr String="^\*I [a-zA-Z01-9]* " attribute="MabI" />
+ <RegExpr String="^\*\*\*\**E.*" attribute="HeaderExemplar" />
+ <RegExpr String="^\*\*\*\**M.*" attribute="HeaderMedium" />
+ <RegExpr String="^\*\*\*\* BIBLIOTHECA.*" attribute="HeaderDb" />
+ <RegExpr String="^\*M [a-zA-Z01-9]* " attribute="MabM" />
+ <RegExpr String="^\*X TYP .*" attribute="MabCommentDescription" />
+ <RegExpr String="^\*X DESC .*" attribute="MabCommentType" />
+ <RegExpr String="^\*X .*" attribute="MabComment" />
+ </context>
+ <context attribute="1" name="Mab">
+ </context>
+ </contexts>
+
+ <itemDatas>
+ <itemData name="Normal Text" defStyleNum="dsNormal" color="#FF0022" selColor="#ffffff" italic="0" bold="1" />
+ <itemData name="HeaderExemplar" defStyleNum="dsKeyword" color="#993322" selColor="#ffffff" italic="0" bold="1" />
+ <itemData name="HeaderMedium" defStyleNum="dsKeyword" color="#339922" selColor="#ffffff" italic="0" bold="1" />
+ <itemData name="HeaderDb" defStyleNum="dsKeyword" color="#FF3322" selColor="#ffffff" italic="0" bold="1" />
+ <itemData name="MabM" defStyleNum="dsKeyword" color="#003366" selColor="#ffffff" italic="0" bold="1" />
+ <itemData name="MabI" defStyleNum="dsKeyword" color="#330066" selColor="#ffffff" italic="0" bold="1" />
+ <itemData name="MabCommentDescription" defStyleNum="dsKeyword" color="#3333FF" selColor="#ffffff" italic="1" bold="1" />
+ <itemData name="MabCommentType" defStyleNum="dsKeyword" color="#BB3333" selColor="#ffffff" italic="1" bold="1" />
+ <itemData name="MabComment" defStyleNum="dsKeyword" color="#999999" selColor="#ffffff" italic="1" bold="1" />
+ </itemDatas>
+ </highlighting>
+</language>
diff --git a/share/tinykate/syntax/makefile.xml b/share/tinykate/syntax/makefile.xml
new file mode 100644
index 0000000..2abeca7
--- a/dev/null
+++ b/share/tinykate/syntax/makefile.xml
@@ -0,0 +1,81 @@
+<?xml version="1.0" encoding="UTF-8"?>
+<!DOCTYPE language SYSTEM "language.dtd">
+<!-- Makefile syntaxfile v0.9 by Per Wigren <wigren@home.se> -->
+<language name="Makefile" section="Other" extensions="" mimetype="text/x-makefile">
+ <highlighting>
+ <list name = "keywords">
+ <item> include </item>
+ <item> define </item>
+ <item> else </item>
+ <item> endef </item>
+ <item> endif </item>
+ <item> ifdef </item>
+ <item> ifeq </item>
+ <item> ifndef </item>
+ <item> ifneq </item>
+ </list>
+
+ <contexts>
+ <context name="Normal" attribute="Normal Text" lineEndContext="0">
+ <HlCStringChar attribute="Normal Text" lineEndContext="0"/>
+ <keyword String="keywords" attribute="Keyword" context="0"/>
+ <RegExpr String="#.*$" attribute="Comment" context="0"/>
+ <RegExpr String="(^|;)\s*\S+\s*=" attribute="Variable" context="1"/>
+ <RegExpr String="^.*:" attribute="Section" context="0"/>
+ <RegExpr attribute="Operator" String="\$\(" context="4"/>
+ <RegExpr attribute="Operator" String="\$\{" context="5"/>
+ <RegExpr String="[+*/=%$():;\\]" attribute="Operator" context="0"/>
+ </context>
+
+ <context name="Value" attribute="String" lineEndContext="1">
+ <HlCStringChar attribute="String Char" context="1"/>
+ <RegExpr attribute="Operator" String="\\$" context="1"/>
+ <RegExpr attribute="String" String="[^\\]?$" context="0"/>
+ <RegExpr attribute="Operator" String="\$\(" context="2"/>
+ <RegExpr attribute="Operator" String="\$\{" context="3"/>
+ <RegExpr attribute="Operator" String=";" context="0"/>
+ </context>
+
+ <context name="ParanVarFromValue" attribute="Variable" lineEndContext="2">
+ <HlCStringChar attribute="Variable" context="2"/>
+ <RegExpr attribute="Operator" String="\)[^$]" context="1"/>
+ <RegExpr attribute="Operator" String="\)$" context="0"/>
+ </context>
+
+ <context name="BrackVarFromValue" attribute="Variable" lineEndContext="3">
+ <HlCStringChar attribute="Variable" context="3"/>
+ <RegExpr attribute="Operator" String="\}[^$]" context="1"/>
+ <RegExpr attribute="Operator" String="\}$" context="0"/>
+ </context>
+
+ <context name="ParanVarFromNormal" attribute="Variable" lineEndContext="4">
+ <HlCChar attribute="Variable" context="4"/>
+ <RegExpr attribute="Operator" String="\)" context="0"/>
+ </context>
+
+ <context name="BrackVarFromNormal" attribute="Variable" lineEndContext="5">
+ <HlCChar attribute="Variable" context="5"/>
+ <RegExpr attribute="Operator" String="\}" context="0"/>
+ </context>
+
+ </contexts>
+
+ <itemDatas>
+ <itemData name="Normal Text" defStyleNum="dsNormal"/>
+ <itemData name="Keyword" defStyleNum="dsKeyword"/>
+ <itemData name="Comment" defStyleNum="dsComment"/>
+ <itemData name="String" defStyleNum="dsString"/>
+ <itemData name="String Char" defStyleNum="dsChar"/>
+ <itemData name="Variable" defStyleNum="dsDataType"/>
+ <itemData name="Section" defStyleNum="dsOthers"/>
+ <itemData name="Operator" defStyleNum="dsChar"/>
+ </itemDatas>
+ </highlighting>
+
+ <general>
+ <comments>
+ <comment name = "singleLine" start = "#"/>
+ </comments>
+ <keywords casesensitive = "1" />
+ </general>
+</language>
diff --git a/share/tinykate/syntax/matlab.xml b/share/tinykate/syntax/matlab.xml
new file mode 100644
index 0000000..d8bdc51
--- a/dev/null
+++ b/share/tinykate/syntax/matlab.xml
@@ -0,0 +1,125 @@
+<?xml version="1.02" encoding="UTF-8"?>
+<!DOCTYPE language SYSTEM "language.dtd">
+<language name="Matlab M-File" version="1.00" kateversion="2.0" section="Sources" extensions="*.m;*.M" mimetype="text/mfile">
+ <highlighting>
+ <list name="keywords">
+ <item> break </item>
+ <item> end </item>
+ <item> case </item>
+ <item> continue </item>
+ <item> do </item>
+ <item> else </item>
+ <item> elseif </item>
+ <item> for </item>
+ <item> goto </item>
+ <item> if </item>
+ <item> return </item>
+ <item> struct </item>
+ <item> switch </item>
+ <item> while </item>
+ <item> function </item>
+ <item> otherwise </item>
+ <item> try </item>
+ <item> catch </item>
+ </list>
+ <list name="types">
+ <item> global </item>
+ <item> persistent </item>
+ <item> double </item>
+ </list>
+ <list name="commands">
+ <item> filter </item>
+ <item> disp </item>
+ <item> sprintf </item>
+ <item> fprintf </item>
+ <item> freqz </item>
+ <item> title </item>
+ <item> figure </item>
+ <item> set </item>
+ <item> get </item>
+ <item> fft </item>
+ <item> zplane </item>
+ <item> abs </item>
+ <item> real </item>
+ <item> imag </item>
+ <item> angle </item>
+ <item> waitforbuttonpress </item>
+ <item> stem </item>
+ <item> plot </item>
+ <item> subplot </item>
+ <item> scatter </item>
+ <item> stairs </item>
+ <item> impz </item>
+ <item> mesh </item>
+ <item> zoom </item>
+ <item> semilogx </item>
+ <item> semilogy </item>
+ <item> bar </item>
+ <item> grid </item>
+ <item> linspace </item>
+ <item> size </item>
+ <item> length </item>
+ <item> load </item>
+ <item> save </item>
+ <item> open </item>
+ <item> close </item>
+ </list>
+ <contexts>
+ <context attribute="0" lineEndContext="0">
+ <keyword attribute="1" context="0" String="keywords"/>
+ <keyword attribute="2" context="0" String="types"/>
+ <keyword attribute="11" context="0" String="commands"/>
+ <Float attribute="6" context="0">
+ <AnyChar String="fF" attribute="6" context="0"/>
+ </Float>
+ <HlCOct attribute="4" context="0"/>
+ <HlCHex attribute="5" context="0"/>
+ <Int attribute="3" context="0" >
+ <StringDetect attribute="3" context="0" String="ULL" insensitive="TRUE"/>
+ <StringDetect attribute="3" context="0" String="LUL" insensitive="TRUE"/>
+ <StringDetect attribute="3" context="0" String="LLU" insensitive="TRUE"/>
+ <StringDetect attribute="3" context="0" String="UL" insensitive="TRUE"/>
+ <StringDetect attribute="3" context="0" String="LU" insensitive="TRUE"/>
+ <StringDetect attribute="3" context="0" String="LL" insensitive="TRUE"/>
+ <StringDetect attribute="3" context="0" String="U" insensitive="TRUE"/>
+ <StringDetect attribute="3" context="0" String="L" insensitive="TRUE"/>
+ </Int>
+ <HlCChar attribute="7" context="0"/>
+ <DetectChar attribute="8" context="1" char="&apos;"/>
+ <DetectChar attribute="9" context="1" char="&apos;"/>
+ <Detect2Chars attribute="10" context="2" char="%" char1="%"/>
+ <Detect2Chars attribute="10" context="2" char="%" char1=" "/>
+ </context>
+ <context attribute="8" lineEndContext="0" name="String">
+ <LineContinue attribute="8" context="#stay"/>
+ <HlCStringChar attribute="9" context="1"/>
+ <DetectChar attribute="8" context="0" char="&apos;"/>
+ </context>
+ <context attribute="10" lineEndContext="0"/>
+ <context attribute="0" lineEndContext="1" />
+ <context attribute="0" lineEndContext="4" />
+ </contexts>
+ <itemDatas>
+ <itemData name="Normal Text" defStyleNum="dsNormal"/>
+ <itemData name="Keyword" defStyleNum="dsKeyword" color="#1414e4" selColor="#ffd60b"/>
+ <itemData name="Data Type" defStyleNum="dsDataType"/>
+ <itemData name="Decimal" defStyleNum="dsDecVal" color="#000000" selColor="#ffffff"/>
+ <itemData name="Octal" defStyleNum="dsBaseN"/>
+ <itemData name="Hex" defStyleNum="dsBaseN"/>
+ <itemData name="Float" defStyleNum="dsFloat"/>
+ <itemData name="Char" defStyleNum="dsChar"/>
+ <itemData name="String" defStyleNum="dsString" color="#ff0000" selColor="#ff0000"/>
+ <itemData name="String Char" defStyleNum="dsChar" color="#ff0000" selColor="#ff0000"/>
+ <itemData name="Comment" defStyleNum="dsComment" color="#2b7805" selColor="#945ca4"/>
+ <itemData name="Command" defStyleNum="dsCommand" color="#000000" selColor="#ffffff" bold="1"/>
+ </itemDatas>
+ </highlighting>
+ <general>
+ <comments>
+ <comment name="singleLine" start="% " />
+ <comment name="singleLine" start="%%" />
+ </comments>
+ <keywords casesensitive="1" />
+ </general>
+</language>
+<!-- Additional commands can easily be added in the above 'commands' section -->
diff --git a/share/tinykate/syntax/objectivec.xml b/share/tinykate/syntax/objectivec.xml
new file mode 100644
index 0000000..d86e75b
--- a/dev/null
+++ b/share/tinykate/syntax/objectivec.xml
@@ -0,0 +1,126 @@
+<?xml version="1.0" encoding="UTF-8"?>
+<!DOCTYPE language SYSTEM "language.dtd">
+<language name="Objective-C" version="1.00" kateversion="2.0" section="Sources" extensions="*.m;*.h" mimetype="text/x-objc-src;text/x-c-hdr">
+ <highlighting>
+ <list name="keywords">
+ <item> break </item>
+ <item> case </item>
+ <item> continue </item>
+ <item> default </item>
+ <item> do </item>
+ <item> else </item>
+ <item> enum </item>
+ <item> extern </item>
+ <item> for </item>
+ <item> goto </item>
+ <item> if </item>
+ <item> return </item>
+ <item> sizeof </item>
+ <item> struct </item>
+ <item> switch </item>
+ <item> typedef </item>
+ <item> union </item>
+ <item> while </item>
+ <item> @class </item>
+ <item> @defs </item>
+ <item> @encode </item>
+ <item> @end </item>
+ <item> @implementation </item>
+ <item> @interface </item>
+ <item> @private </item>
+ <item> @protected </item>
+ <item> @protocol </item>
+ <item> @public </item>
+ <item> @selector </item>
+ <item> self </item>
+ <item> super </item>
+ </list>
+ <list name="types">
+ <item> auto </item>
+ <item> char </item>
+ <item> const </item>
+ <item> double </item>
+ <item> float </item>
+ <item> int </item>
+ <item> long </item>
+ <item> register </item>
+ <item> short </item>
+ <item> signed </item>
+ <item> static </item>
+ <item> unsigned </item>
+ <item> void </item>
+ <item> volatile </item>
+ </list>
+ <contexts>
+ <context attribute="0" lineEndContext="0">
+ <keyword attribute="1" context="0" String="keywords"/>
+ <keyword attribute="2" context="0" String="types"/>
+ <Float attribute="6" context="0">
+ <AnyChar String="fF" attribute="6" context="0"/>
+ </Float>
+ <HlCOct attribute="4" context="0"/>
+ <HlCHex attribute="5" context="0"/>
+ <Int attribute="3" context="0" >
+ <StringDetect attribute="3" context="0" String="ULL" insensitive="TRUE"/>
+ <StringDetect attribute="3" context="0" String="LUL" insensitive="TRUE"/>
+ <StringDetect attribute="3" context="0" String="LLU" insensitive="TRUE"/>
+ <StringDetect attribute="3" context="0" String="UL" insensitive="TRUE"/>
+ <StringDetect attribute="3" context="0" String="LU" insensitive="TRUE"/>
+ <StringDetect attribute="3" context="0" String="LL" insensitive="TRUE"/>
+ <StringDetect attribute="3" context="0" String="U" insensitive="TRUE"/>
+ <StringDetect attribute="3" context="0" String="L" insensitive="TRUE"/>
+ </Int>
+ <HlCChar attribute="7" context="0"/>
+ <DetectChar attribute="8" context="1" char="&quot;"/>
+ <Detect2Chars attribute="10" context="2" char="/" char1="/"/>
+ <Detect2Chars attribute="10" context="3" char="/" char1="*"/>
+ <AnyChar attribute="11" context="0" String="!%&amp;()+,-&lt;=&gt;?[]^{|}~"/>
+ <RegExpr attribute="12" context="4" String="^#"/>
+ <Detect2Chars attribute="8" context="1" char="@" char1="&quot;" />
+ </context>
+ <context attribute="8" lineEndContext="0">
+ <LineContinue attribute="8" context="#stay"/>
+ <HlCStringChar attribute="9" context="1"/>
+ <DetectChar attribute="8" context="0" char="&quot;"/>
+ </context>
+ <context attribute="10" lineEndContext="0"/>
+ <context attribute="10" lineEndContext="3">
+ <Detect2Chars attribute="10" context="0" char="*" char1="/"/>
+ </context>
+ <context attribute="12" lineEndContext="0">
+ <LineContinue attribute="12" context="#stay"/>
+ <RangeDetect attribute="13" context="4" char="&quot;" char1="&quot;"/>
+ <RangeDetect attribute="13" context="4" char="&lt;" char1="&gt;"/>
+ <Detect2Chars attribute="10" context="2" char="/" char1="/"/>
+ <Detect2Chars attribute="10" context="5" char="/" char1="*"/>
+ </context>
+ <context attribute="10" lineEndContext="5">
+ <Detect2Chars attribute="10" context="4" char="*" char1="/" />
+ </context>
+ <context attribute="0" lineEndContext="1" />
+ <context attribute="0" lineEndContext="4" />
+ </contexts>
+ <itemDatas>
+ <itemData name="Normal Text" defStyleNum="dsNormal"/>
+ <itemData name="Keyword" defStyleNum="dsKeyword"/>
+ <itemData name="Data Type" defStyleNum="dsDataType"/>
+ <itemData name="Decimal" defStyleNum="dsDecVal"/>
+ <itemData name="Octal" defStyleNum="dsBaseN"/>
+ <itemData name="Hex" defStyleNum="dsBaseN"/>
+ <itemData name="Float" defStyleNum="dsFloat"/>
+ <itemData name="Char" defStyleNum="dsChar"/>
+ <itemData name="String" defStyleNum="dsString"/>
+ <itemData name="String Char" defStyleNum="dsChar"/>
+ <itemData name="Comment" defStyleNum="dsComment"/>
+ <itemData name="Symbol" defStyleNum="dsNormal"/>
+ <itemData name="Preprocessor" defStyleNum="dsOthers"/>
+ <itemData name="Prep. Lib" defStyleNum="dsOthers"/> <!--,Qt::darkYellow,Qt::yellow,false,false)); -->
+ </itemDatas>
+ </highlighting>
+ <general>
+ <comments>
+ <comment name="multiLine" start="/*" end="*/" />
+ </comments>
+ <keywords casesensitive="1" />
+ </general>
+</language>
diff --git a/share/tinykate/syntax/pascal.xml b/share/tinykate/syntax/pascal.xml
new file mode 100644
index 0000000..2ecda44
--- a/dev/null
+++ b/share/tinykate/syntax/pascal.xml
@@ -0,0 +1,162 @@
+<?xml version="1.0" encoding="UTF-8"?>
+<!DOCTYPE language SYSTEM "language.dtd">
+<language name="Pascal" version="1.00" kateversion="2.0" section="Sources" extensions="*.pp;*.pas" mimetype="text/x-pascal">
+ <highlighting>
+ <list name="keywords">
+ <item> and </item>
+ <item> array </item>
+ <item> asm </item>
+ <item> begin </item>
+ <item> case </item>
+ <item> const </item>
+ <item> div </item>
+ <item> do </item>
+ <item> downto </item>
+ <item> else </item>
+ <item> end </item>
+ <item> for </item>
+ <item> function </item>
+ <item> goto </item>
+ <item> if </item>
+ <item> implementation </item>
+ <item> in </item>
+ <item> interface </item>
+ <item> label </item>
+ <item> mod </item>
+ <item> nil </item>
+ <item> not </item>
+ <item> of </item>
+ <item> on </item>
+ <item> operator </item>
+ <item> or </item>
+ <item> packed </item>
+ <item> procedure </item>
+ <item> program </item>
+ <item> record </item>
+ <item> repeat </item>
+ <item> self </item>
+ <item> set </item>
+ <item> shl </item>
+ <item> shr </item>
+ <item> then </item>
+ <item> to </item>
+ <item> type </item>
+ <item> unit </item>
+ <item> until </item>
+ <item> uses </item>
+ <item> var </item>
+ <item> while </item>
+ <item> with </item>
+ <item> xor </item>
+ <item> break </item>
+ <item> continue </item>
+ <item> constructor </item>
+ <item> destructor </item>
+ <item> inherited </item>
+ <item> inline </item>
+ <item> object </item>
+ <item> private </item>
+ <item> protected </item>
+ <item> public </item>
+ <item> as </item>
+ <item> at </item>
+ <item> automated </item>
+ <item> class </item>
+ <item> dispinterface </item>
+ <item> except </item>
+ <item> exports </item>
+ <item> finalization </item>
+ <item> finally </item>
+ <item> initialization </item>
+ <item> is </item>
+ <item> library </item>
+ <item> on </item>
+ <item> property </item>
+ <item> published </item>
+ <item> raise </item>
+ <item> resourcestring </item>
+ <item> threadvar </item>
+ <item> try </item>
+ <item> dispose </item>
+ <item> exit </item>
+ <item> false </item>
+ <item> new </item>
+ <item> true </item>
+ </list>
+ <list name="types">
+ <item> Integer </item>
+ <item> Cardinal </item>
+ <item> ShortInt </item>
+ <item> SmallInt </item>
+ <item> LongInt </item>
+ <item> Int64 </item>
+ <item> Byte </item>
+ <item> Word </item>
+ <item> LongWord </item>
+ <item> Char </item>
+ <item> AnsiChar </item>
+ <item> WideChar </item>
+ <item> Boolean </item>
+ <item> ByteBool </item>
+ <item> WordBool </item>
+ <item> LongBool </item>
+ <item> Single </item>
+ <item> Double </item>
+ <item> Extended </item>
+ <item> Comp </item>
+ <item> Currency </item>
+ <item> Real </item>
+ <item> Real48 </item>
+ <item> String </item>
+ <item> ShortString </item>
+ <item> AnsiString </item>
+ <item> WideString </item>
+ <item> Pointer </item>
+ <item> Variant</item>
+ <item> File </item>
+ <item> Text </item>
+ </list>
+ <contexts>
+ <context attribute="0" lineEndContext="0">
+ <keyword attribute="1" context="0" String="keywords"/>
+ <keyword attribute="2" context="0" String="types"/>
+ <Float attribute="3" context="0"/>
+ <Int attribute="3" context="0"/>
+ <DetectChar attribute="4" context="1" char="&quot;"/>
+ <StringDetect attribute="5" context="2" String="(*$"/>
+ <Detect2Chars attribute="5" context="3" char="{" char1="$"/>
+ <DetectChar attribute="6" context="4" char="{"/>
+ <Detect2Chars attribute="6" context="5" char="/" char1="/"/>
+ </context>
+ <context attribute="4" lineEndContext="0">
+ <DetectChar attribute="4" context="0" char="&quot;"/>
+ </context>
+ <context attribute="5" lineEndContext="0">
+ <Detect2Chars attribute="5" context="0" char="*" char1=")"/>
+ </context>
+ <context attribute="5" lineEndContext="0">
+ <DetectChar attribute="5" context="0" char="}"/>
+ </context>
+ <context attribute="6" lineEndContext="4">
+ <DetectChar attribute="6" context="0" char="}"/>
+ </context>
+ <context attribute="6" lineEndContext="0"/>
+ </contexts>
+ <itemDatas>
+ <itemData name="Normal Text" defStyleNum="dsNormal" />
+ <itemData name="Keyword" defStyleNum="dsKeyword" />
+ <itemData name="Type" defStyleNum="dsDataType"/>
+ <itemData name="Number" defStyleNum="dsDecVal" />
+ <itemData name="String" defStyleNum="dsString" />
+ <itemData name="Directive" defStyleNum="dsOthers" />
+ <itemData name="Comment" defStyleNum="dsComment" />
+ </itemDatas>
+ </highlighting>
+ <general>
+ <keywords casesensitive="0" />
+ <comments>
+ <comment name="singleLine" start="//" />
+ <comment name="multiLine" start="{" end="}" />
+ </comments>
+ </general>
+</language>
diff --git a/share/tinykate/syntax/perl.xml b/share/tinykate/syntax/perl.xml
new file mode 100644
index 0000000..0bb594d
--- a/dev/null
+++ b/share/tinykate/syntax/perl.xml
@@ -0,0 +1,603 @@
+<?xml version="1.0" encoding="UTF-8"?>
+ <!DOCTYPE language SYSTEM "language.dtd">
+
+<language name="Perl" version="1.02" kateversion="2.0" section="Scripts" extensions="*.pl;*.pm" mimetype="application/x-perl;text/x-perl">
+ <highlighting>
+     <list name="keywords">
+         <item> if </item>
+         <item> unless </item>
+         <item> else </item>
+         <item> elsif </item>
+         <item> while </item>
+         <item> until </item>
+         <item> for </item>
+         <item> each </item>
+         <item> foreach </item>
+         <item> next </item>
+         <item> last </item>
+         <item> break </item>
+         <item> continue </item>
+         <item> return </item>
+         <item> use </item>
+         <item> no </item>
+         <item> require </item>
+         <item> my </item>
+         <item> our </item>
+         <item> local </item>
+         <item> BEGIN </item>
+         <item> END </item>
+         <item>require</item>
+         <item> package </item>
+         <item> sub </item>
+         <item> do </item>
+         <item> __END__ </item>
+         <item> __DATA__ </item>
+         <item> __FILE__ </item>
+         <item> __LINE__ </item>
+         <item> __PACKAGE__ </item>
+     </list>
+     <list name="operators">
+         <item> = </item>
+         <item> != </item>
+         <item> ~= </item>
+         <item> += </item>
+         <item> -= </item>
+         <item> *= </item>
+         <item> /= </item>
+         <item> **= </item>
+         <item> |= </item>
+         <item> ||= </item>
+         <item> &amp;= </item>
+         <item> &amp;&amp;= </item>
+         <item> ?= </item>
+         <item> + </item>
+         <item> - </item>
+         <item> * </item>
+         <item> / </item>
+         <item> % </item>
+         <item> || </item>
+         <item> &amp;&amp; </item>
+         <item> | </item>
+         <item> &amp; </item>
+         <item> &lt; </item>
+         <item> &lt;&lt; </item>
+         <item> &gt; </item>
+         <item> &gt;&gt; </item>
+         <item> ^ </item>
+         <item> -&gt; </item>
+         <item> =&gt; </item>
+         <item> . </item>
+         <item> , </item>
+         <item> ; </item>
+         <item> :: </item>
+         <item> \ </item>
+         <item> and </item>
+         <item> or </item>
+         <item> not </item>
+         <item> eq </item>
+         <item> ne </item>
+     </list>
+     <list name="functions">
+         <item>abs</item>
+         <item>accept</item>
+         <item>alarm</item>
+         <item>atan2</item>
+         <item>bind</item>
+         <item>binmode</item>
+         <item>bless</item>
+         <item>caller</item>
+         <item>chdir</item>
+         <item>chmod</item>
+         <item>chomp</item>
+         <item>chop</item>
+         <item>chown</item>
+         <item>chr</item>
+         <item>chroot</item>
+         <item>close</item>
+         <item>closedir</item>
+         <item>connect</item>
+         <item>cos</item>
+         <item>crypt</item>
+         <item>dbmclose</item>
+         <item>dbmopen</item>
+         <item>defined</item>
+         <item>delete</item>
+         <item>die</item>
+         <item>dump</item>
+         <item>endgrent</item>
+         <item>endhostent</item>
+         <item>endnetent</item>
+         <item>endprotoent</item>
+         <item>endpwent</item>
+         <item>endservent</item>
+         <item>eof</item>
+         <item>eval</item>
+         <item>exec</item>
+         <item>exists</item>
+         <item>exit</item>
+         <item>exp</item>
+         <item>fcntl</item>
+         <item>fileno</item>
+         <item>flock</item>
+         <item>fork</item>
+         <item>format</item>
+         <item>formline</item>
+         <item>getc</item>
+         <item>getgrent</item>
+         <item>getgrgid</item>
+         <item>getgrnam</item>
+         <item>gethostbyaddr</item>
+         <item>gethostbyname</item>
+         <item>gethostent</item>
+         <item>getlogin</item>
+         <item>getnetbyaddr</item>
+         <item>getnetbyname</item>
+         <item>getnetent</item>
+         <item>getpeername</item>
+         <item>getpgrp</item>
+         <item>getppid</item>
+         <item>getpriority</item>
+         <item>getprotobyname</item>
+         <item>getprotobynumber</item>
+         <item>getprotoent</item>
+         <item>getpwent</item>
+         <item>getpwnam</item>
+         <item>getpwuid</item>
+         <item>getservbyname</item>
+         <item>getservbyport</item>
+         <item>getservent</item>
+         <item>getsockname</item>
+         <item>getsockopt</item>
+         <item>glob</item>
+         <item>gmtime</item>
+         <item>goto</item>
+         <item>grep</item>
+         <item>hex</item>
+         <item>import</item>
+         <item>index</item>
+         <item>int</item>
+         <item>ioctl</item>
+         <item>join</item>
+         <item>keys</item>
+         <item>kill</item>
+         <item>last</item>
+         <item>lc</item>
+         <item>lcfirst</item>
+         <item>length</item>
+         <item>link</item>
+         <item>listen</item>
+         <item>localtime</item>
+         <item>lock</item>
+         <item>log</item>
+         <item>lstat</item>
+         <item>map</item>
+         <item>mkdir</item>
+         <item>msgctl</item>
+         <item>msgget</item>
+         <item>msgrcv</item>
+         <item>msgsnd</item>
+         <item>oct</item>
+         <item>open</item>
+         <item>opendir</item>
+         <item>ord</item>
+         <item>pack</item>
+         <item>package</item>
+         <item>pipe</item>
+         <item>pop</item>
+         <item>pos</item>
+         <item>print</item>
+         <item>printf</item>
+         <item>prototype</item>
+         <item>push</item>
+         <item>quotemeta</item>
+         <item>rand</item>
+         <item>read</item>
+         <item>readdir</item>
+         <item>readline</item>
+         <item>readlink</item>
+         <item>recv</item>
+         <item>redo</item>
+         <item>ref</item>
+         <item>rename</item>
+         <item>reset</item>
+         <item>return</item>
+         <item>reverse</item>
+         <item>rewinddir</item>
+         <item>rindex</item>
+         <item>rmdir</item>
+         <item>scalar</item>
+         <item>seek</item>
+         <item>seekdir</item>
+         <item>select</item>
+         <item>semctl</item>
+         <item>semget</item>
+         <item>semop</item>
+         <item>send</item>
+         <item>setgrent</item>
+         <item>sethostent</item>
+         <item>setnetent</item>
+         <item>setpgrp</item>
+         <item>setpriority</item>
+         <item>setprotoent</item>
+         <item>setpwent</item>
+         <item>setservent</item>
+         <item>setsockopt</item>
+         <item>shift</item>
+         <item>shmctl</item>
+         <item>shmget</item>
+         <item>shmread</item>
+         <item>shmwrite</item>
+         <item>shutdown</item>
+         <item>sin</item>
+         <item>sleep</item>
+         <item>socket</item>
+         <item>socketpair</item>
+         <item>sort</item>
+         <item>splice</item>
+         <item>split</item>
+         <item>sprintf</item>
+         <item>sqrt</item>
+         <item>srand</item>
+         <item>stat</item>
+         <item>study</item>
+         <item>sub</item>
+         <item>substr</item>
+         <item>symlink</item>
+         <item>syscall</item>
+         <item>sysread</item>
+         <item>sysseek</item>
+         <item>system</item>
+         <item>syswrite</item>
+         <item>tell</item>
+         <item>telldir</item>
+         <item>tie</item>
+         <item>time</item>
+         <item>times</item>
+         <item>truncate</item>
+         <item>uc</item>
+         <item>ucfirst</item>
+         <item>umask</item>
+         <item>undef</item>
+         <item>unlink</item>
+         <item>unpack</item>
+         <item>unshift</item>
+         <item>untie</item>
+         <item>utime</item>
+         <item>values</item>
+         <item>vec</item>
+         <item>wait</item>
+         <item>waitpid</item>
+         <item>wantarray</item>
+         <item>warn</item>
+         <item>write</item>
+     </list>
+     <list name="pragmas">
+         <item>strict</item>
+         <item>english</item>
+         <item>warnings</item>
+         <item>vars</item>
+         <item>subs</item>
+         <item>utf8</item>
+         <item>sigtrap</item>
+         <item>locale</item>
+         <item>open</item>
+         <item>less</item>
+         <item>integer</item>
+         <item>filetest</item>
+         <item>constant</item>
+         <item>bytes</item>
+         <item>diagnostics</item>
+     </list>
+     <contexts>
+         <context attribute="Normal Text" name="default" lineEndContext="#stay" name="normal">
+             <RegExpr attribute="Keyword" context="#stay" String="^#!\/.*" />
+             <RegExpr attribute="Keyword" context="data_handle" String="^__DATA__" />
+             <RegExpr attribute="Keyword" context="end_handle" String="^__END__" />
+             <keyword attribute="Keyword" context="#stay" String="keywords" />
+             <keyword attribute="Operator" context="#stay" String="operators" />
+             <keyword attribute="Function" context="#stay" String="functions" />
+             <keyword attribute="Pragma" context="#stay" String="pragmas" />
+             <RegExpr attribute="Comment" context="comment" String="#" />
+             <RegExpr attribute="Pod" context="pod" String="^\=(?:head[1-6]|over|back|item|for|begin|end|pod)\s*.*" />
+             <RegExpr attribute="Keyword" context="sub_name_def" String="\s*sub\s+" />
+
+            <HlCOct attribute="Octal" context="slash_safe_escape" />
+             <HlCHex attribute="Hex" context="slash_safe_escape" />
+             <Float attribute="Float" context="slash_safe_escape" />
+             <Int attribute="Decimal" context="slash_safe_escape" />
+
+            <RegExpr attribute="Normal Text" context="#stay" String="\\[&quot;']" />
+             <Detect2Chars attribute="Normal Text" context="#stay" char="&amp;" char1="'" />
+             <DetectChar attribute="String (interpolated)" context="ip_string" char="&quot;"/>
+             <DetectChar attribute="String" context="string" char="'"/>
+             <AnyChar attribute="Operator" context="#stay" String="&amp;\" />
+
+            <RegExpr attribute="Special Variable" context="var_detect" String="\$[0-9]+" />
+             <RegExpr attribute="Special Variable" context="var_detect" String="[@\$](?:[\+\-_]\B|ARGV\b|INC\b)" />
+             <RegExpr attribute="Special Variable" context="var_detect" String="[%\$](?:INC\b|ENV\b|SIG\b)" />
+             <RegExpr attribute="Data Type" context="var_detect" String="\$\$[\$\w_]" />
+             <RegExpr attribute="Data Type" context="var_detect" String="\$[#_][\w_]" />
+             <RegExpr attribute="Special Variable" context="slash_safe_escape" String="\$[^a-zA-Z0-9\s{][A-Z]?" />
+             <RegExpr attribute="Data Type" context="var_detect" String="[\$@%]\{[\w_]+\}" />
+             <RegExpr attribute="Data Type" context="var_detect" String="[\$@%]" />
+             <RegExpr attribute="Data Type" context="var_detect" String="\*[a-zA-Z_]+" />
+
+            <RegExpr attribute="Keyword" context="#stay" String="&lt;[A-Z0-9_]+&gt;" />
+             <RegExpr attribute="Keyword" context="#stay" String="\s*&lt;&lt;\s*[&quot;']?[A-Z0-9_\-]+[&quot;']?" />
+             <RegExpr attribute="Normal Text" context="#stay" String="\s*[)}]\s*/" />
+             <RegExpr attribute="Normal Text" context="sub_name_def" String="\w+::" />
+             <RegExpr attribute="Normal Text" context="#stay" String="\w+[=]" />
+
+            <StringDetect attribute="String (interpolated)" context="ip_string_2" String="qq(" insensitive="FALSE" />
+             <StringDetect attribute="String (interpolated)" context="ip_string_3" String="qq{" insensitive="FALSE" />
+             <StringDetect attribute="String (interpolated)" context="ip_string_4" String="qq[" insensitive="FALSE" />
+             <StringDetect attribute="String (interpolated)" context="ip_string_5" String="qq&lt;" insensitive="FALSE" />
+             <RegExpr attribute="String (interpolated)" context="#stay" String="qq([^a-zA-Z0-9_\s[\]{}()]).*\1" minimal="true" />
+
+            <Detect2Chars attribute="String" context="string_2" char="q" char1="(" />
+             <Detect2Chars attribute="String" context="string_3" char="q" char1="{" />
+             <Detect2Chars attribute="String" context="string_4" char="q" char1="[" />
+             <Detect2Chars attribute="String" context="string_5" char="q" char1="&lt;" />
+             <RegExpr attribute="String" context="#stay" String="q([^a-zA-Z0-9_\s[\]{}()]).+\1" />
+
+            <StringDetect attribute="Normal Text" context="quote_word" String="qw/" />
+
+            <RegExpr attribute="Pattern" context="#stay" String="(?:s|tr|y)\([^)]*\)\s*\([^)]*\)" />
+             <RegExpr attribute="Pattern" context="#stay" String="(?:s|tr|y)\{[^}]*\}\s*\{[^}]*\}" />
+             <RegExpr attribute="Pattern" context="#stay" String="(?:s|tr|y)\[[^}]*\]\s*\[[^\]]*\]" />
+             <RegExpr attribute="Pattern" context="#stay" String="(?:s|tr|y)([^a-zA-Z0-9_\s[\]{}()]).*\1.*\1" minimal="true"/>
+
+            <Detect2Chars attribute="Pattern" context="op_s_pattern" char="s" char1="{" />
+
+            <RegExpr attribute="Normal Text" context="#stay" String="[\w_]{3,}[[{:\-.;,]" />
+             <RegExpr attribute="Normal Text" context="#stay" String="[\w_]([mqsy]|q[rx])\(" />
+
+            <RegExpr attribute="Pattern" context="pattern_slash" String="(?:m|q[rx])\/" />
+             <RegExpr attribute="Pattern" context="#stay" String="(?:m|q[rx])\([^)]*\)" />
+             <RegExpr attribute="Pattern" context="#stay" String="(?:m|q[rx])\{[^}]*\}" />
+             <RegExpr attribute="Pattern" context="#stay" String="(?:m|q[rx])\[[^\]]*\]" />
+             <RegExpr attribute="Pattern" context="#stay" String="(?:m|q[rx])([^a-zA-Z0-9_-\s[\]{}()/]).+\1" minimal="true"/>
+             <RegExpr attribute="Normal Text" context="#stay" String="[\w_]+\s*/" />
+             <RegExpr attribute="Normal Text" context="#stay" String="[&lt;&gt;&quot;':]/" />
+             <DetectChar attribute="Pattern" context="pattern_slash" char="/" />
+             <RegExpr attribute="Operator" context="#stay" String="-[rwxoRWXOeszfdlpSbctugkTBMAC]" />
+         </context>
+         <context attribute="Pod" lineEndContext="#stay" name="pod">
+             <LineContinue attribute="Pod" context="#stay" />
+             <RegExpr attribute="Pod" context="#stay" String="[^\=]*" />
+             <RegExpr attribute="Pod" context="#pop" String="^\=cut.*$" />
+         </context>
+ <context attribute="String (interpolated)" lineContext="#stay" name="ipstring_internal">
+             <RegExpr attribute="String (interpolated)" context="#stay" String="\\[\$@%]" />
+             <RegExpr attribute="Special Variable" context="#stay" String="\$[0-9]+" />
+             <RegExpr attribute="Data Type" context="var_detect" String="\$+#?[a-zA-Z_]+[a-zA-Z0-9_]*" />
+             <RegExpr attribute="Data Type" context="var_detect" String="[@%][\$a-zA-Z_]+[a-zA-Z0-9_]*" />
+             <RegExpr attribute="String Special Character" context="#stay" String="\\[UuLlEtnaefr]" />
+ </context>
+ <context attribute="Pattern" lineEndContext="#stay" name="regex_pattern_internal">
+             <RegExpr attribute="Comment" context="#stay" String="^\s*#.*$" />
+             <RegExpr attribute="Pattern Character Class" context="#stay" String="\\[anDdSsWw]" />
+             <RegExpr attribute="Pattern Internal Operator" context="#stay" String="\\[ABbEGLlNUuQdQZz]" />
+             <RegExpr attribute="Special Variable" context="#stay" String="\\[\d]+" />
+             <RegExpr attribute="Pattern" context="#stay" String="\\." />
+            
+ <RegExpr attribute="Data Type" context="#stay" String="[\$@]#?[a-zA-Z_]+[a-zA-Z0-9_]*" />
+             <Detect2Chars attribute="Pattern Internal Operator" context="pat_ext" char="(" char1="?" />
+             <DetectChar attribute="Pattern Internal Operator" context="pat_char_class" char="[" />
+             <RegExpr attribute="Pattern Internal Operator" context="#stay" String="[()?^*+|]" />
+             <RegExpr attribute="Pattern Internal Operator" context="#stay" String="\{[\d, ]+\}" />
+             <DetectChar attribute="Pattern Internal Operator" context="#stay" char="$" />
+             <RegExpr attribute="Comment" context="#stay" String="\s{3,}#.*$" />
+ </context>
+         <context attribute="String (interpolated)" lineEndContext="#stay" name="ip_string">
+             <LineContinue attribute="String (interpolated)" context="#stay"/>
+             <Detect2Chars attribute="String" context="#stay" char="\" char1="\" />
+             <Detect2Chars attribute="String (interpolated)" context="#stay" char="\" char1="&quot;" />
+             <DetectChar attribute="String (interpolated)" context="#pop" char="&quot;"/>
+ <IncludeRules context="2" />
+         </context>
+         <context attribute="String" lineEndContext="#stay" name="string">
+             <LineContinue attribute="String" context="#stay"/>
+             <Detect2Chars attribute="String" context="#stay" char="\" char1="\" />
+             <Detect2Chars attribute="String" context="#stay" char="\" char1="'" />
+             <DetectChar attribute="String" context="#pop" char="'" />
+         </context>
+         <context attribute="String (interpolated)" lineEndContext="#stay" name="ip_string_2">
+             <LineContinue attribute="String (interpolated)" context="#stay" />
+             <Detect2Chars attribute="String (interpolated)" context="#stay" char="\" char1="(" />
+             <Detect2Chars attribute="String (interpolated)" context="#stay" char="\" char1=")" />
+             <RangeDetect attribute="String (interpolated)" context="#stay" char="(" char1=")" />
+             <DetectChar attribute="String (interpolated)" context="#pop" char=")" />
+ <IncludeRules context="2" />
+         </context>
+         <context attribute="String (interpolated)" lineEndContext="#stay" name="ip_string_3">
+             <LineContinue attribute="String (interpolated)" context="#stay" />
+             <Detect2Chars attribute="String (interpolated)" context="#stay" char="\" char1="{" />
+             <Detect2Chars attribute="String (interpolated)" context="#stay" char="\" char1="}" />
+             <RangeDetect attribute="String (interpolated)" context="#stay" char="{" char1="}" />
+             <DetectChar attribute="String (interpolated)" context="#pop" char="}" />
+ <IncludeRules context="2" />
+         </context>
+         <context attribute="String (interpolated)" lineEndContext="#stay" name="ip_string_4">
+             <LineContinue attribute="String (interpolated)" context="#stay" />
+             <Detect2Chars attribute="String (interpolated)" context="#stay" char="\" char1="[" />
+             <Detect2Chars attribute="String (interpolated)" context="#stay" char="\" char1="]" />
+             <RangeDetect attribute="String (interpolated)" context="#stay" char="[" char1="]" />
+             <DetectChar attribute="String (interpolated)" context="#pop" char="]" />
+ <IncludeRules context="2" />
+         </context>
+         <context attribute="String (interpolated)" lineEndContext="#stay" name="ip_string_5">
+             <LineContinue attribute="String (interpolated)" context="#stay" />
+             <Detect2Chars attribute="String (interpolated)" context="#stay" char="\" char1="&lt;" />
+             <Detect2Chars attribute="String (interpolated)" context="#stay" char="\" char1="&gt;" />
+             <RangeDetect attribute="String (interpolated)" context="#stay" char="&lt;" char1="&gt;" />
+             <DetectChar attribute="String (interpolated)" context="#pop" char="&gt;" />
+ <IncludeRules context="2" />
+         </context>
+         <context attribute="String" lineEndContext="#stay" name="string_2">
+             <LineContinue attribute="String" context="#stay" />
+             <Detect2Chars attribute="String" context="#stay" char="\" char1="(" />
+             <Detect2Chars attribute="String" context="#stay" char="\" char1=")" />
+             <RangeDetect attribute="String" context="#stay" char="(" char1=")" />
+             <DetectChar attribute="String" context="#pop" char=")" />
+         </context>
+         <context attribute="String" lineEndContext="#stay" name="string_3">
+             <Detect2Chars attribute="String" context="#stay" char="\" char1="{" />
+             <Detect2Chars attribute="String" context="#stay" char="\" char1="}" />
+             <RangeDetect attribute="String" context="#stay" char="{" char1="}" />
+             <LineContinue attribute="String" context="#stay" />
+             <DetectChar attribute="String" context="#pop" char="}" />
+         </context>
+         <context attribute="String" lineEndContext="#stay" name="string_4">
+             <LineContinue attribute="String" context="#stay" />
+             <Detect2Chars attribute="String" context="#stay" char="\" char1="[" />
+             <Detect2Chars attribute="String" context="#stay" char="\" char1="]" />
+             <RangeDetect attribute="String" context="#stay" char="[" char1="]" />
+             <DetectChar attribute="String" context="#pop" char="]" />
+         </context>
+         <context attribute="String" lineEndContext="#stay" name="string_5">
+             <LineContinue attribute="String" context="#stay" />
+             <Detect2Chars attribute="String" context="#stay" char="\" char1="&lt;" />
+             <Detect2Chars attribute="String" context="#stay" char="\" char1="&gt;" />
+             <RangeDetect attribute="String" context="#stay" char="&lt;" char1="&gt;" />
+             <DetectChar attribute="String" context="#pop" char="&gt;" />
+         </context>
+         <context attribute="Pattern" lineEndContext="#stay" name="op_s_pattern">
+             <LineContinue attribute="Pattern" context="#stay" />
+             <RegExpr attribute="Comment" context="#stay" String="\s+#.*$" />
+             <Detect2Chars attribute="Pattern" context="#stay" char="\" char1="{" />
+             <Detect2Chars attribute="Pattern" context="#stay" char="\" char1="}" />
+ <IncludeRules context="3" />
+ <DetectChar attribute="Pattern" context="op_s_replace" char="}" />
+         </context>
+         <context attribute="Normal Text" lineEndContext="#stay" name="op_s_replace">
+             <LineContinue attribute="Normal Text" context="#stay" />
+             <RegExpr attribute="Normal Text" context="#stay" String="[&quot;'\/]" />
+             <RegExpr attribute="Comment" context="#stay" String="\s*#.*$" />
+             <RegExpr attribute="Special Variable" context="#stay" String="\$[0-9]+" />
+             <RegExpr attribute="Data Type" context="#stay" String="\$+#?[a-zA-Z_]+[a-zA-Z0-9_]*" />
+             <RegExpr attribute="Data Type" context="#stay" String="[@%][\$a-zA-Z_]+[a-zA-Z0-9_]*" />
+             <keyword attribute="Keyword" context="0" String="keywords" />
+             <keyword attribute="Operator" context="0" String="operators" />
+             <keyword attribute="Function" context="0" String="functions" />
+             <Detect2Chars attribute="Pattern" context="#stay" char="\" char1="{" />
+             <Detect2Chars attribute="Pattern" context="#stay" char="\" char1="}" />
+             <DetectChar attribute="Pattern" context="#stay" char="{" />
+             <DetectChar attribute="Pattern" context="#pop#pop" char="}" />
+         </context>
+         <context attribute="Normal Text" lineEndContext="#stay" name="quote_word">
+             <LineContinue attribute="Normal Text" context="#stay" />
+             <Detect2Chars attribute="Normal Text" context="#stay" char="\" char1="/" />
+             <DetectChar attribute="Normal Text" context="#pop" char="/" />
+             <RegExpr attribute="Data Type" context="#stay" String="\$+#?[a-zA-Z_]+[a-zA-Z0-9_]*" />
+             <RegExpr attribute="Data Type" context="#stay" String="[@%][\$a-zA-Z_]+[a-zA-Z0-9_]*" />
+         </context>
+         <context attribute="Pattern" lineEndContext="#stay" name="pattern_slash">
+             <RegExpr attribute="Comment" context="#stay" String="^\s*#.*$" />
+             <LineContinue attribute="Pattern" context="#stay" />
+ <IncludeRules context="3" />
+             <DetectChar attribute="Pattern" context="#pop" char="/" />
+         </context>
+         <context attribute="Data" lineEndContext="#stay" name="data_handle">
+             <LineContinue attribute="Data" context="#stay"/>
+             <RegExpr attribute="Pod" context="pod" String="^\=(?:head[1-6]|over|back|item|for|begin|end|pod)\s*.*" />
+             <RegExpr attribute="Keyword" context="end_handle" String="^__END__" />
+         </context>
+         <context attribute="Nothing" lineEndContext="#stay" name="end_handle">
+             <LineContinue attribute="Nothing" context="#stay" />
+             <RegExpr attribute="Pod" context="pod" String="^\=(?:head[1-6]|over|back|item|for|begin|end|pod)\s*.*" />
+             <RegExpr attribute="Keyword" context="data_handle" String="^__DATA__" />
+         </context>
+         <context attribute="Normal Text" lineEndContext="#stay" name="var_detect" fallthrough="true" fallthroughContext="#pop">
+             <RegExpr attribute="Data Type" context="#stay" String="[\w_]+" />
+             <AnyChar attribute="Normal Text" context="#pop" String="[;,*=!&amp;" />
+             <RegExpr attribute="Normal Text" context="#stay" String="\s*[})]?\s*/" />
+             <DetectChar attribute="Operator" context="#stay" char="'" />
+             <RegExpr attribute="Normal Text" context="in_hash_elem" String="\s*\{" />
+             <RegExpr attribute="Operator" context="#stay" String="(\+\+|--)" />
+             <Detect2Chars attribute="Normal Text" context="#stay" char=":" char1=":" />
+             <RegExpr attribute="Normal Text" context="#stay" String="\s*\}?\s*-&gt;\s*" />
+             <RegExpr attribute="Normal Text" context="in_hash_elem" String="\s*\}\s*\{\s*" />
+             <RegExpr attribute="Data Type" context="#stay" String="[\$@%]" />
+         </context>
+         <context attribute="Normal Text" lineEndContext="#pop" name="slash_safe_escape" fallthrough="true" fallthroughContext="#pop">
+             <RegExpr attribute="Normal Text" context="#pop" String="\s*[)}\]]?\s*/" />
+             <keyword attribute="Keyword" context="#pop" String="keywords" />
+         </context>
+         <context attribute="Normal Text" lineEndContext="#pop" name="sub_name_def">
+             <RegExpr attribute="Normal Text" context="#stay" String="[\w_]+" />
+             <DetectChar attribute="Data Type" context="var_detect" char="$" />
+             <RegExpr attribute="Normal Text" context="sub_arg_definition" String="\s*\(" />
+             <AnyChar attribute="Normal Text" context="#pop" String=";{" />
+         </context>
+         <context attribute="Normal Text" lineEndContext="#stay" name="package_qualified_blank">
+             <RegExpr attribute="Normal Text" context="#pop" String="[\w_]+" />
+         </context>
+         <context attribute="Normal Text" lineEndContext="#pop" name="in_hash_elem">
+             <DetectChar attribute="String (interpolated)" context="ip_string" char="&quot;" />
+             <DetectChar attribute="String" context="string" char="'" />
+             <RegExpr attribute="String" context="#stay" String="\s*[\w_]+\s*(?![\w_\(])" />
+             <RegExpr attribute="Special Variable" context="#stay" String="\$[0-9]+" />
+             <RegExpr attribute="Special Variable" context="#stay" String="[@\$](?:[\+\-_]\B|ARGV\b|INC\b)" />
+             <RegExpr attribute="Special Variable" context="#stay" String="[%\$](?:INC\b|ENV\b|SIG\b)" />
+             <RegExpr attribute="Data Type" context="var_detect" String="\$\$[\$\w_]" />
+             <RegExpr attribute="Data Type" context="var_detect" String="\$[#_][\w_]" />
+             <RegExpr attribute="Special Variable" context="#stay" String="\$[^a-zA-Z0-9\s{][A-Z]" />
+             <RegExpr attribute="Data Type" context="var_detect" String="[\$@%]" />
+             <RegExpr attribute="Normal Text" context="#pop" String="\s*[\w_]+\s*\(" />
+             <DetectChar attribute="Normal Text" context="#pop" char="}" />
+         </context>
+         <context attribute="Normal Text" lineEndContext="#stay" name="sub_arg_definition" fallthrough="true" fallthroughContext="#pop#pop">
+             <RegExpr attribute="Data Type" context="#stay" String="[\$@%]" />
+             <DetectChar attribute="Normal Text" context="slash_safe_escape" char=")" />
+         </context>
+         <context attribute="Pattern Internal Operator" lineEndContext="#stay" name="pat_ext">
+             <RegExpr attribute="Comment" context="#pop" String="\#[^)]*" />
+             <RegExpr attribute="Pattern Internal Operator" context="#pop" String="[:=!&gt;&lt;]+" />
+             <DetectChar attribute="Pattern Internal Operator" context="#pop" char=")" />
+         </context>
+         <context attribute="Pattern Character Class" lineEndContext="#stay" name="pat_char_class">
+             <LineContinue attribute="Pattern Character Class" context="#stay" />
+             <DetectChar attribute="Pattern Internal Operator" context="#stay" char="^" />
+             <Detect2Chars attribute="Pattern Character Class" context="#stay" char="\" char1="\" />
+             <Detect2Chars attribute="Pattern Character Class" context="#stay" char="\" char1="]" />
+             <RangeDetect attribute="Pattern Character Class" context="#stay" char="[" char1="]" />
+             <DetectChar attribute="Pattern Internal Operator" context="#pop" char="]" />
+         </context>
+ <context attribute="Comment" name="comment" lineEndContext="#pop">
+ <RegExpr attribute="Note" context="#stay" String="(?:FIXME|TODO|NOTE):?" />
+ </context>
+     </contexts>
+     <itemDatas>
+         <itemData name="Normal Text"  defStyleNum="dsNormal" />
+         <itemData name="Keyword"      defStyleNum="dsKeyword" />
+         <itemData name="Pragma"       defStyleNum="dsKeyword" />
+         <itemData name="Function"     defStyleNum="dsNormal" color="#000080" selColor="#ffffff" bold="0" italic="0"/>
+         <itemData name="Operator"     defStyleNum="dsKeyword" />
+         <itemData name="Data Type"    defStyleNum="dsDataType" />
+         <itemData name="Special Variable" defStyleNum="dsDataType" color="#C00000" selColor="#C00000" bold="0" italic="0" />
+         <itemData name="Decimal"      defStyleNum="dsDecVal" />
+         <itemData name="Octal"        defStyleNum="dsBaseN" />
+         <itemData name="Hex"          defStyleNum="dsBaseN" />
+         <itemData name="Float"        defStyleNum="dsFloat" />
+         <itemData name="String"       defStyleNum="dsString" color="#FF6C6C" selColor="#FF6C6C" bold="0" italic="0" />
+         <itemData name="String (interpolated)" defStyleNum="dsString" />
+         <itemData name="String Special Character" defStyleNum="dsChar" />
+         <itemData name="Pattern"      defStyleNum="dsOthers" />
+         <itemData name="Pattern Internal Operator" defStyleNum="dsChar" />
+         <itemData name="Pattern Character Class" defStyleNum="dsBaseN" />
+         <itemData name="Data"         defStyleNum="dsNormal" />
+         <itemData name="Comment"      defStyleNum="dsComment" />
+         <itemData name="Pod"          defStyleNum="dsComment" />
+         <itemData name="Nothing"      defStyleNum="dsComment" />
+         <itemData name="Note"       defStyleNum="dsDecVal" color="#0000FF" selColor="#ffffff" bold="1" italic="0"/>
+     </itemDatas>
+   </highlighting>
+   <general>
+     <comments>
+       <comment name="singleLine" start="#" />
+     </comments>
+     <keywords casesensitive="1" />
+   </general>
+ </language>
+
diff --git a/share/tinykate/syntax/php.xml b/share/tinykate/syntax/php.xml
new file mode 100644
index 0000000..055dd0f
--- a/dev/null
+++ b/share/tinykate/syntax/php.xml
@@ -0,0 +1,2767 @@
+<?xml version="1.0" encoding="UTF-8"?>
+<!DOCTYPE language SYSTEM "language.dtd">
+<language name="PHP" version="1.02" kateversion="2.0" section="Scripts" extensions="*.php;*.php3;*.wml;*.phtml" mimetype="text/x-php4-src;text/x-php3-src;text/vnd.wap.wml">
+ <highlighting>
+ <list name="others">
+ <item> ; </item>
+ <item> ( </item>
+ <item> ) </item>
+ <item> { </item>
+ <item> } </item>
+ <item> : </item>
+ <item> , </item>
+ <item> [ </item>
+ <item> ] </item>
+ </list>
+ <list name="operators">
+ <item> or </item>
+ <item> xor </item>
+ <item> and </item>
+ <item> = </item>
+ <item> += </item>
+ <item> -= </item>
+ <item> *= </item>
+ <item> /= </item>
+ <item> .= </item>
+ <item> %= </item>
+ <item> &amp;= </item>
+ <item> |= </item>
+ <item> ^= </item>
+ <item> ~= </item>
+ <item> &lt;&lt;= </item>
+ <item> &gt;&gt;= </item>
+ <item> || </item>
+ <item> &amp;&amp; </item>
+ <item> | </item>
+ <item> ^ </item>
+ <item> &amp; </item>
+ <item> == </item>
+ <item> != </item>
+ <item> === </item>
+ <item> !== </item>
+ <item> &lt; </item>
+ <item> &lt;= </item>
+ <item> &gt; </item>
+ <item> &gt;= </item>
+ <item> &lt;&lt; </item>
+ <item> &gt;&gt; </item>
+ <item> + </item>
+ <item> - </item>
+ <item> . </item>
+ <item> * </item>
+ <item> / </item>
+ <item> % </item>
+ <item> ! </item>
+ <item> ~ </item>
+ <item> ++ </item>
+ <item> -- </item>
+ </list>
+ <list name="control structures">
+ <item>as</item>
+ <item>case</item>
+ <item>if</item>
+ <item>else</item>
+ <item>elseif</item>
+ <item>while</item>
+ <item>do</item>
+ <item>for</item>
+ <item>foreach</item>
+ <item>break</item>
+ <item>continue</item>
+ <item>switch</item>
+ <item>declare</item>
+ <item>return</item>
+ <item>require</item>
+ <item>include</item>
+ <item>require_once</item>
+ <item>include_once</item>
+ </list>
+ <list name="keywords">
+ <item> var </item>
+ <item> class </item>
+ <item> new </item>
+ <item> function </item>
+ <item> default </item>
+ <item> E_ALL </item>
+ <item> E_ERROR </item>
+ <item> E_NOTICE </item>
+ <item> E_PARSE </item>
+ <item> E_USER_ERROR </item>
+ <item> E_USER_NOTICE </item>
+ <item> E_USER_WARNING </item>
+ <item> E_WARNING </item>
+ <item> FALSE </item>
+ <item> PHP_OS </item>
+ <item> PHP_VERSION </item>
+ <item> __FILE__ </item>
+ <item> __LINE__ </item>
+ <item> TRUE </item>
+ </list>
+ <list name="functions">
+ <item> abs </item>
+ <item> acos </item>
+ <item> acosh </item>
+ <item> addcslashes </item>
+ <item> addslashes </item>
+ <item> apache_child_terminate </item>
+ <item> apache_lookup_uri </item>
+ <item> apache_note </item>
+ <item> apache_setenv </item>
+ <item> array </item>
+ <item> array_change_key_case </item>
+ <item> array_chunk </item>
+ <item> array_count_values </item>
+ <item> array_diff </item>
+ <item> array_fill </item>
+ <item> array_filter </item>
+ <item> array_flip </item>
+ <item> array_intersect </item>
+ <item> array_key_exists </item>
+ <item> array_keys </item>
+ <item> array_map </item>
+ <item> array_merge </item>
+ <item> array_merge_recursive </item>
+ <item> array_multisort </item>
+ <item> array_pad </item>
+ <item> array_pop </item>
+ <item> array_push </item>
+ <item> array_rand </item>
+ <item> array_reduce </item>
+ <item> array_reverse </item>
+ <item> array_search </item>
+ <item> array_shift </item>
+ <item> array_slice </item>
+ <item> array_splice </item>
+ <item> array_sum </item>
+ <item> array_unique </item>
+ <item> array_unshift </item>
+ <item> array_values </item>
+ <item> array_walk </item>
+ <item> arsort </item>
+ <item> ascii2ebcdic </item>
+ <item> asin </item>
+ <item> asinh </item>
+ <item> asort </item>
+ <item> aspell_check </item>
+ <item> aspell_check_raw </item>
+ <item> aspell_new </item>
+ <item> aspell_suggest </item>
+ <item> assert </item>
+ <item> assert_options </item>
+ <item> atan </item>
+ <item> atan2 </item>
+ <item> atanh </item>
+ <item> base64_decode </item>
+ <item> base64_encode </item>
+ <item> base_convert </item>
+ <item> basename </item>
+ <item> bcadd </item>
+ <item> bccomp </item>
+ <item> bcdiv </item>
+ <item> bcmod </item>
+ <item> bcmul </item>
+ <item> bcpow </item>
+ <item> bcscale </item>
+ <item> bcsqrt </item>
+ <item> bcsub </item>
+ <item> bin2hex </item>
+ <item> bind_textdomain_codeset </item>
+ <item> bindec </item>
+ <item> bindtextdomain </item>
+ <item> bzclose </item>
+ <item> bzcompress </item>
+ <item> bzdecompress </item>
+ <item> bzerrno </item>
+ <item> bzerror </item>
+ <item> bzerrstr </item>
+ <item> bzflush </item>
+ <item> bzopen </item>
+ <item> bzread </item>
+ <item> bzwrite </item>
+ <item> cal_days_in_month </item>
+ <item> cal_from_jd </item>
+ <item> cal_info </item>
+ <item> cal_to_jd </item>
+ <item> call_user_func </item>
+ <item> call_user_func_array </item>
+ <item> call_user_method </item>
+ <item> call_user_method_array </item>
+ <item> ccvs_add </item>
+ <item> ccvs_auth </item>
+ <item> ccvs_command </item>
+ <item> ccvs_count </item>
+ <item> ccvs_delete </item>
+ <item> ccvs_done </item>
+ <item> ccvs_init </item>
+ <item> ccvs_lookup </item>
+ <item> ccvs_new </item>
+ <item> ccvs_report </item>
+ <item> ccvs_return </item>
+ <item> ccvs_reverse </item>
+ <item> ccvs_sale </item>
+ <item> ccvs_status </item>
+ <item> ccvs_textvalue </item>
+ <item> ccvs_void </item>
+ <item> ceil </item>
+ <item> chdir </item>
+ <item> checkdate </item>
+ <item> checkdnsrr </item>
+ <item> chgrp </item>
+ <item> chmod </item>
+ <item> chop </item>
+ <item> chown </item>
+ <item> chr </item>
+ <item> chroot </item>
+ <item> chunk_split </item>
+ <item> class_exists </item>
+ <item> clearstatcache </item>
+ <item> closedir </item>
+ <item> closelog </item>
+ <item> com </item>
+ <item> com_addref </item>
+ <item> com_get </item>
+ <item> com_invoke </item>
+ <item> com_isenum </item>
+ <item> com_load </item>
+ <item> com_load_typelib </item>
+ <item> com_propget </item>
+ <item> com_propput </item>
+ <item> com_propset </item>
+ <item> com_release </item>
+ <item> com_set </item>
+ <item> compact </item>
+ <item> connection_aborted </item>
+ <item> connection_status </item>
+ <item> connection_timeout </item>
+ <item> constant </item>
+ <item> convert_cyr_string </item>
+ <item> copy </item>
+ <item> cos </item>
+ <item> cosh </item>
+ <item> count </item>
+ <item> count_chars </item>
+ <item> cpdf_add_annotation </item>
+ <item> cpdf_add_outline </item>
+ <item> cpdf_arc </item>
+ <item> cpdf_begin_text </item>
+ <item> cpdf_circle </item>
+ <item> cpdf_clip </item>
+ <item> cpdf_close </item>
+ <item> cpdf_closepath </item>
+ <item> cpdf_closepath_fill_stroke </item>
+ <item> cpdf_closepath_stroke </item>
+ <item> cpdf_continue_text </item>
+ <item> cpdf_curveto </item>
+ <item> cpdf_end_text </item>
+ <item> cpdf_fill </item>
+ <item> cpdf_fill_stroke </item>
+ <item> cpdf_finalize </item>
+ <item> cpdf_finalize_page </item>
+ <item> cpdf_global_set_document_limits </item>
+ <item> cpdf_import_jpeg </item>
+ <item> cpdf_lineto </item>
+ <item> cpdf_moveto </item>
+ <item> cpdf_newpath </item>
+ <item> cpdf_open </item>
+ <item> cpdf_output_buffer </item>
+ <item> cpdf_page_init </item>
+ <item> cpdf_place_inline_image </item>
+ <item> cpdf_rect </item>
+ <item> cpdf_restore </item>
+ <item> cpdf_rlineto </item>
+ <item> cpdf_rmoveto </item>
+ <item> cpdf_rotate </item>
+ <item> cpdf_rotate_text </item>
+ <item> cpdf_save </item>
+ <item> cpdf_save_to_file </item>
+ <item> cpdf_scale </item>
+ <item> cpdf_set_action_url </item>
+ <item> cpdf_set_char_spacing </item>
+ <item> cpdf_set_creator </item>
+ <item> cpdf_set_current_page </item>
+ <item> cpdf_set_font </item>
+ <item> cpdf_set_font_directories </item>
+ <item> cpdf_set_font_map_file </item>
+ <item> cpdf_set_horiz_scaling </item>
+ <item> cpdf_set_keywords </item>
+ <item> cpdf_set_leading </item>
+ <item> cpdf_set_page_animation </item>
+ <item> cpdf_set_subject </item>
+ <item> cpdf_set_text_matrix </item>
+ <item> cpdf_set_text_pos </item>
+ <item> cpdf_set_text_rendering </item>
+ <item> cpdf_set_text_rise </item>
+ <item> cpdf_set_title </item>
+ <item> cpdf_set_viewer_preferences </item>
+ <item> cpdf_set_word_spacing </item>
+ <item> cpdf_setdash </item>
+ <item> cpdf_setflat </item>
+ <item> cpdf_setgray </item>
+ <item> cpdf_setgray_fill </item>
+ <item> cpdf_setgray_stroke </item>
+ <item> cpdf_setlinecap </item>
+ <item> cpdf_setlinejoin </item>
+ <item> cpdf_setlinewidth </item>
+ <item> cpdf_setmiterlimit </item>
+ <item> cpdf_setrgbcolor </item>
+ <item> cpdf_setrgbcolor_fill </item>
+ <item> cpdf_setrgbcolor_stroke </item>
+ <item> cpdf_show </item>
+ <item> cpdf_show_xy </item>
+ <item> cpdf_stringwidth </item>
+ <item> cpdf_stroke </item>
+ <item> cpdf_text </item>
+ <item> cpdf_translate </item>
+ <item> crack_check </item>
+ <item> crack_closedict </item>
+ <item> crack_getlastmessage </item>
+ <item> crack_opendict </item>
+ <item> crc32 </item>
+ <item> create_function </item>
+ <item> crypt </item>
+ <item> ctype_alnum </item>
+ <item> ctype_alpha </item>
+ <item> ctype_cntrl </item>
+ <item> ctype_digit </item>
+ <item> ctype_graph </item>
+ <item> ctype_lower </item>
+ <item> ctype_print </item>
+ <item> ctype_punct </item>
+ <item> ctype_space </item>
+ <item> ctype_upper </item>
+ <item> ctype_xdigit </item>
+ <item> curl_close </item>
+ <item> curl_errno </item>
+ <item> curl_error </item>
+ <item> curl_exec </item>
+ <item> curl_getinfo </item>
+ <item> curl_init </item>
+ <item> curl_setopt </item>
+ <item> curl_version </item>
+ <item> current </item>
+ <item> cybercash_base64_decode </item>
+ <item> cybercash_base64_encode </item>
+ <item> cybercash_decr </item>
+ <item> cybercash_encr </item>
+ <item> cybermut_creerformulairecm </item>
+ <item> cybermut_creerreponsecm </item>
+ <item> cybermut_testmac </item>
+ <item> cyrus_authenticate </item>
+ <item> cyrus_bind </item>
+ <item> cyrus_close </item>
+ <item> cyrus_connect </item>
+ <item> cyrus_query </item>
+ <item> cyrus_unbind </item>
+ <item> date </item>
+ <item> dba_close </item>
+ <item> dba_delete </item>
+ <item> dba_exists </item>
+ <item> dba_fetch </item>
+ <item> dba_firstkey </item>
+ <item> dba_insert </item>
+ <item> dba_nextkey </item>
+ <item> dba_open </item>
+ <item> dba_optimize </item>
+ <item> dba_popen </item>
+ <item> dba_replace </item>
+ <item> dba_sync </item>
+ <item> dbase_add_record </item>
+ <item> dbase_close </item>
+ <item> dbase_create </item>
+ <item> dbase_delete_record </item>
+ <item> dbase_get_record </item>
+ <item> dbase_get_record_with_names </item>
+ <item> dbase_numfields </item>
+ <item> dbase_numrecords </item>
+ <item> dbase_open </item>
+ <item> dbase_pack </item>
+ <item> dbase_replace_record </item>
+ <item> dblist </item>
+ <item> dbmclose </item>
+ <item> dbmdelete </item>
+ <item> dbmexists </item>
+ <item> dbmfetch </item>
+ <item> dbmfirstkey </item>
+ <item> dbminsert </item>
+ <item> dbmnextkey </item>
+ <item> dbmopen </item>
+ <item> dbmreplace </item>
+ <item> dbplus_add </item>
+ <item> dbplus_aql </item>
+ <item> dbplus_chdir </item>
+ <item> dbplus_close </item>
+ <item> dbplus_curr </item>
+ <item> dbplus_errcode </item>
+ <item> dbplus_errno </item>
+ <item> dbplus_find </item>
+ <item> dbplus_first </item>
+ <item> dbplus_flush </item>
+ <item> dbplus_freealllocks </item>
+ <item> dbplus_freelock </item>
+ <item> dbplus_freerlocks </item>
+ <item> dbplus_getlock </item>
+ <item> dbplus_getunique </item>
+ <item> dbplus_info </item>
+ <item> dbplus_last </item>
+ <item> dbplus_lockrel </item>
+ <item> dbplus_next </item>
+ <item> dbplus_open </item>
+ <item> dbplus_prev </item>
+ <item> dbplus_rchperm </item>
+ <item> dbplus_rcreate </item>
+ <item> dbplus_rcrtexact </item>
+ <item> dbplus_rcrtlike </item>
+ <item> dbplus_resolve </item>
+ <item> dbplus_restorepos </item>
+ <item> dbplus_rkeys </item>
+ <item> dbplus_ropen </item>
+ <item> dbplus_rquery </item>
+ <item> dbplus_rrename </item>
+ <item> dbplus_rsecindex </item>
+ <item> dbplus_runlink </item>
+ <item> dbplus_rzap </item>
+ <item> dbplus_savepos </item>
+ <item> dbplus_setindex </item>
+ <item> dbplus_setindexbynumber </item>
+ <item> dbplus_sql </item>
+ <item> dbplus_tcl </item>
+ <item> dbplus_tremove </item>
+ <item> dbplus_undo </item>
+ <item> dbplus_undoprepare </item>
+ <item> dbplus_unlockrel </item>
+ <item> dbplus_unselect </item>
+ <item> dbplus_update </item>
+ <item> dbplus_xlockrel </item>
+ <item> dbplus_xunlockrel </item>
+ <item> dbx_close </item>
+ <item> dbx_compare </item>
+ <item> dbx_connect </item>
+ <item> dbx_error </item>
+ <item> dbx_query </item>
+ <item> dbx_sort </item>
+ <item> dcgettext </item>
+ <item> dcngettext </item>
+ <item> debugger_off </item>
+ <item> debugger_on </item>
+ <item> decbin </item>
+ <item> dechex </item>
+ <item> decoct </item>
+ <item> define </item>
+ <item> define_syslog_variables </item>
+ <item> defined </item>
+ <item> deg2rad </item>
+ <item> delete </item>
+ <item> dgettext </item>
+ <item> die </item>
+ <item> dio_close </item>
+ <item> dio_fcntl </item>
+ <item> dio_open </item>
+ <item> dio_read </item>
+ <item> dio_seek </item>
+ <item> dio_stat </item>
+ <item> dio_truncate </item>
+ <item> dio_write </item>
+ <item> dir </item>
+ <item> dirname </item>
+ <item> disk_free_space </item>
+ <item> disk_total_space </item>
+ <item> diskfreespace </item>
+ <item> dl </item>
+ <item> dngettext </item>
+ <item> domxml_add_root </item>
+ <item> domxml_attributes </item>
+ <item> domxml_children </item>
+ <item> domxml_dumpmem </item>
+ <item> domxml_get_attribute </item>
+ <item> domxml_new_child </item>
+ <item> domxml_new_xmldoc </item>
+ <item> domxml_node </item>
+ <item> domxml_node_set_content </item>
+ <item> domxml_node_unlink_node </item>
+ <item> domxml_root </item>
+ <item> domxml_set_attribute </item>
+ <item> domxml_version </item>
+ <item> dotnet_load </item>
+ <item> doubleval </item>
+ <item> each </item>
+ <item> easter_date </item>
+ <item> easter_days </item>
+ <item> ebcdic2ascii </item>
+ <item> echo </item>
+ <item> empty </item>
+ <item> end </item>
+ <item> ereg </item>
+ <item> ereg_replace </item>
+ <item> eregi </item>
+ <item> eregi_replace </item>
+ <item> error_log </item>
+ <item> error_reporting </item>
+ <item> escapeshellarg </item>
+ <item> escapeshellcmd </item>
+ <item> eval </item>
+ <item> exec </item>
+ <item> exif_imagetype </item>
+ <item> exif_read_data </item>
+ <item> exif_thumbnail </item>
+ <item> exit </item>
+ <item> exp </item>
+ <item> explode </item>
+ <item> expm1 </item>
+ <item> extension_loaded </item>
+ <item> extract </item>
+ <item> ezmlm_hash </item>
+ <item> fbsql_affected_rows </item>
+ <item> fbsql_autocommit </item>
+ <item> fbsql_change_user </item>
+ <item> fbsql_close </item>
+ <item> fbsql_commit </item>
+ <item> fbsql_connect </item>
+ <item> fbsql_create_blob </item>
+ <item> fbsql_create_clob </item>
+ <item> fbsql_create_db </item>
+ <item> fbsql_data_seek </item>
+ <item> fbsql_database </item>
+ <item> fbsql_database_password </item>
+ <item> fbsql_db_query </item>
+ <item> fbsql_db_status </item>
+ <item> fbsql_drop_db </item>
+ <item> fbsql_errno </item>
+ <item> fbsql_error </item>
+ <item> fbsql_fetch_array </item>
+ <item> fbsql_fetch_assoc </item>
+ <item> fbsql_fetch_field </item>
+ <item> fbsql_fetch_lengths </item>
+ <item> fbsql_fetch_object </item>
+ <item> fbsql_fetch_row </item>
+ <item> fbsql_field_flags </item>
+ <item> fbsql_field_len </item>
+ <item> fbsql_field_name </item>
+ <item> fbsql_field_seek </item>
+ <item> fbsql_field_table </item>
+ <item> fbsql_field_type </item>
+ <item> fbsql_free_result </item>
+ <item> fbsql_get_autostart_info </item>
+ <item> fbsql_hostname </item>
+ <item> fbsql_insert_id </item>
+ <item> fbsql_list_dbs </item>
+ <item> fbsql_list_fields </item>
+ <item> fbsql_list_tables </item>
+ <item> fbsql_next_result </item>
+ <item> fbsql_num_fields </item>
+ <item> fbsql_num_rows </item>
+ <item> fbsql_password </item>
+ <item> fbsql_pconnect </item>
+ <item> fbsql_query </item>
+ <item> fbsql_read_blob </item>
+ <item> fbsql_read_clob </item>
+ <item> fbsql_result </item>
+ <item> fbsql_rollback </item>
+ <item> fbsql_select_db </item>
+ <item> fbsql_set_lob_mode </item>
+ <item> fbsql_set_transaction </item>
+ <item> fbsql_start_db </item>
+ <item> fbsql_stop_db </item>
+ <item> fbsql_tablename </item>
+ <item> fbsql_username </item>
+ <item> fbsql_warnings </item>
+ <item> fclose </item>
+ <item> fdf_add_template </item>
+ <item> fdf_close </item>
+ <item> fdf_create </item>
+ <item> fdf_get_file </item>
+ <item> fdf_get_status </item>
+ <item> fdf_get_value </item>
+ <item> fdf_next_field_name </item>
+ <item> fdf_open </item>
+ <item> fdf_save </item>
+ <item> fdf_set_ap </item>
+ <item> fdf_set_encoding </item>
+ <item> fdf_set_file </item>
+ <item> fdf_set_flags </item>
+ <item> fdf_set_javascript_action </item>
+ <item> fdf_set_opt </item>
+ <item> fdf_set_status </item>
+ <item> fdf_set_submit_form_action </item>
+ <item> fdf_set_value </item>
+ <item> feof </item>
+ <item> fflush </item>
+ <item> fgetc </item>
+ <item> fgetcsv </item>
+ <item> fgets </item>
+ <item> fgetss </item>
+ <item> fgetwrapperdata </item>
+ <item> file </item>
+ <item> file_exists </item>
+ <item> file_get_contents </item>
+ <item> fileatime </item>
+ <item> filectime </item>
+ <item> filegroup </item>
+ <item> fileinode </item>
+ <item> filemtime </item>
+ <item> fileowner </item>
+ <item> fileperms </item>
+ <item> filepro </item>
+ <item> filepro_fieldcount </item>
+ <item> filepro_fieldname </item>
+ <item> filepro_fieldtype </item>
+ <item> filepro_fieldwidth </item>
+ <item> filepro_retrieve </item>
+ <item> filepro_rowcount </item>
+ <item> filesize </item>
+ <item> filetype </item>
+ <item> floatval </item>
+ <item> flock </item>
+ <item> floor </item>
+ <item> flush </item>
+ <item> fopen </item>
+ <item> fpassthru </item>
+ <item> fputs </item>
+ <item> fread </item>
+ <item> frenchtojd </item>
+ <item> fribidi_log2vis </item>
+ <item> fscanf </item>
+ <item> fseek </item>
+ <item> fsockopen </item>
+ <item> fstat </item>
+ <item> ftell </item>
+ <item> ftok </item>
+ <item> ftp_cdup </item>
+ <item> ftp_chdir </item>
+ <item> ftp_close </item>
+ <item> ftp_connect </item>
+ <item> ftp_delete </item>
+ <item> ftp_exec </item>
+ <item> ftp_fget </item>
+ <item> ftp_fput </item>
+ <item> ftp_get </item>
+ <item> ftp_get_option </item>
+ <item> ftp_login </item>
+ <item> ftp_mdtm </item>
+ <item> ftp_mkdir </item>
+ <item> ftp_nlist </item>
+ <item> ftp_pasv </item>
+ <item> ftp_put </item>
+ <item> ftp_pwd </item>
+ <item> ftp_quit </item>
+ <item> ftp_rawlist </item>
+ <item> ftp_rename </item>
+ <item> ftp_rmdir </item>
+ <item> ftp_set_option </item>
+ <item> ftp_site </item>
+ <item> ftp_size </item>
+ <item> ftp_systype </item>
+ <item> ftruncate </item>
+ <item> func_get_arg </item>
+ <item> func_get_args </item>
+ <item> func_num_args </item>
+ <item> function_exists </item>
+ <item> fwrite </item>
+ <item> get_browser </item>
+ <item> get_cfg_var </item>
+ <item> get_class </item>
+ <item> get_class_methods </item>
+ <item> get_class_vars </item>
+ <item> get_current_user </item>
+ <item> get_declared_classes </item>
+ <item> get_defined_constants </item>
+ <item> get_defined_functions </item>
+ <item> get_defined_vars </item>
+ <item> get_extension_funcs </item>
+ <item> get_html_translation_table </item>
+ <item> get_included_files </item>
+ <item> get_loaded_extensions </item>
+ <item> get_magic_quotes_gpc </item>
+ <item> get_magic_quotes_runtime </item>
+ <item> get_meta_tags </item>
+ <item> get_object_vars </item>
+ <item> get_parent_class </item>
+ <item> get_required_files </item>
+ <item> get_resource_type </item>
+ <item> getallheaders </item>
+ <item> getcwd </item>
+ <item> getdate </item>
+ <item> getenv </item>
+ <item> gethostbyaddr </item>
+ <item> gethostbyname </item>
+ <item> gethostbynamel </item>
+ <item> getimagesize </item>
+ <item> getlastmod </item>
+ <item> getmxrr </item>
+ <item> getmygid </item>
+ <item> getmyinode </item>
+ <item> getmypid </item>
+ <item> getmyuid </item>
+ <item> getprotobyname </item>
+ <item> getprotobynumber </item>
+ <item> getrandmax </item>
+ <item> getrusage </item>
+ <item> getservbyname </item>
+ <item> getservbyport </item>
+ <item> gettext </item>
+ <item> gettimeofday </item>
+ <item> gettype </item>
+ <item> gmdate </item>
+ <item> gmmktime </item>
+ <item> gmp_abs </item>
+ <item> gmp_add </item>
+ <item> gmp_and </item>
+ <item> gmp_clrbit </item>
+ <item> gmp_cmp </item>
+ <item> gmp_com </item>
+ <item> gmp_div </item>
+ <item> gmp_div_q </item>
+ <item> gmp_div_qr </item>
+ <item> gmp_div_r </item>
+ <item> gmp_divexact </item>
+ <item> gmp_fact </item>
+ <item> gmp_gcd </item>
+ <item> gmp_gcdext </item>
+ <item> gmp_hamdist </item>
+ <item> gmp_init </item>
+ <item> gmp_intval </item>
+ <item> gmp_invert </item>
+ <item> gmp_jacobi </item>
+ <item> gmp_legendre </item>
+ <item> gmp_mod </item>
+ <item> gmp_mul </item>
+ <item> gmp_neg </item>
+ <item> gmp_or </item>
+ <item> gmp_perfect_square </item>
+ <item> gmp_popcount </item>
+ <item> gmp_pow </item>
+ <item> gmp_powm </item>
+ <item> gmp_prob_prime </item>
+ <item> gmp_random </item>
+ <item> gmp_scan0 </item>
+ <item> gmp_scan1 </item>
+ <item> gmp_setbit </item>
+ <item> gmp_sign </item>
+ <item> gmp_sqrt </item>
+ <item> gmp_sqrtrem </item>
+ <item> gmp_strval </item>
+ <item> gmp_sub </item>
+ <item> gmp_xor </item>
+ <item> gmstrftime </item>
+ <item> gregoriantojd </item>
+ <item> gzclose </item>
+ <item> gzcompress </item>
+ <item> gzdeflate </item>
+ <item> gzencode </item>
+ <item> gzeof </item>
+ <item> gzfile </item>
+ <item> gzgetc </item>
+ <item> gzgets </item>
+ <item> gzgetss </item>
+ <item> gzinflate </item>
+ <item> gzopen </item>
+ <item> gzpassthru </item>
+ <item> gzputs </item>
+ <item> gzread </item>
+ <item> gzrewind </item>
+ <item> gzseek </item>
+ <item> gztell </item>
+ <item> gzuncompress </item>
+ <item> gzwrite </item>
+ <item> header </item>
+ <item> headers_sent </item>
+ <item> hebrev </item>
+ <item> hebrevc </item>
+ <item> hexdec </item>
+ <item> highlight_file </item>
+ <item> highlight_string </item>
+ <item> htmlentities </item>
+ <item> htmlspecialchars </item>
+ <item> hw_array2objrec </item>
+ <item> hw_changeobject </item>
+ <item> hw_children </item>
+ <item> hw_childrenobj </item>
+ <item> hw_close </item>
+ <item> hw_connect </item>
+ <item> hw_connection_info </item>
+ <item> hw_cp </item>
+ <item> hw_deleteobject </item>
+ <item> hw_docbyanchor </item>
+ <item> hw_docbyanchorobj </item>
+ <item> hw_document_attributes </item>
+ <item> hw_document_bodytag </item>
+ <item> hw_document_content </item>
+ <item> hw_document_setcontent </item>
+ <item> hw_document_size </item>
+ <item> hw_dummy </item>
+ <item> hw_edittext </item>
+ <item> hw_error </item>
+ <item> hw_errormsg </item>
+ <item> hw_free_document </item>
+ <item> hw_getanchors </item>
+ <item> hw_getanchorsobj </item>
+ <item> hw_getandlock </item>
+ <item> hw_getchildcoll </item>
+ <item> hw_getchildcollobj </item>
+ <item> hw_getchilddoccoll </item>
+ <item> hw_getchilddoccollobj </item>
+ <item> hw_getobject </item>
+ <item> hw_getobjectbyquery </item>
+ <item> hw_getobjectbyquerycoll </item>
+ <item> hw_getobjectbyquerycollobj </item>
+ <item> hw_getobjectbyqueryobj </item>
+ <item> hw_getparents </item>
+ <item> hw_getparentsobj </item>
+ <item> hw_getrellink </item>
+ <item> hw_getremote </item>
+ <item> hw_getremotechildren </item>
+ <item> hw_getsrcbydestobj </item>
+ <item> hw_gettext </item>
+ <item> hw_getusername </item>
+ <item> hw_identify </item>
+ <item> hw_incollections </item>
+ <item> hw_info </item>
+ <item> hw_inscoll </item>
+ <item> hw_insdoc </item>
+ <item> hw_insertanchors </item>
+ <item> hw_insertdocument </item>
+ <item> hw_insertobject </item>
+ <item> hw_mapid </item>
+ <item> hw_modifyobject </item>
+ <item> hw_mv </item>
+ <item> hw_new_document </item>
+ <item> hw_objrec2array </item>
+ <item> hw_output_document </item>
+ <item> hw_pconnect </item>
+ <item> hw_pipedocument </item>
+ <item> hw_root </item>
+ <item> hw_setlinkroot </item>
+ <item> hw_stat </item>
+ <item> hw_unlock </item>
+ <item> hw_who </item>
+ <item> hypot </item>
+ <item> ibase_blob_add </item>
+ <item> ibase_blob_cancel </item>
+ <item> ibase_blob_close </item>
+ <item> ibase_blob_create </item>
+ <item> ibase_blob_echo </item>
+ <item> ibase_blob_get </item>
+ <item> ibase_blob_import </item>
+ <item> ibase_blob_info </item>
+ <item> ibase_blob_open </item>
+ <item> ibase_close </item>
+ <item> ibase_commit </item>
+ <item> ibase_connect </item>
+ <item> ibase_errmsg </item>
+ <item> ibase_execute </item>
+ <item> ibase_fetch_object </item>
+ <item> ibase_fetch_row </item>
+ <item> ibase_field_info </item>
+ <item> ibase_free_query </item>
+ <item> ibase_free_result </item>
+ <item> ibase_num_fields </item>
+ <item> ibase_pconnect </item>
+ <item> ibase_prepare </item>
+ <item> ibase_query </item>
+ <item> ibase_rollback </item>
+ <item> ibase_timefmt </item>
+ <item> ibase_trans </item>
+ <item> icap_close </item>
+ <item> icap_create_calendar </item>
+ <item> icap_delete_calendar </item>
+ <item> icap_delete_event </item>
+ <item> icap_fetch_event </item>
+ <item> icap_list_alarms </item>
+ <item> icap_list_events </item>
+ <item> icap_open </item>
+ <item> icap_rename_calendar </item>
+ <item> icap_reopen </item>
+ <item> icap_snooze </item>
+ <item> icap_store_event </item>
+ <item> iconv </item>
+ <item> iconv_get_encoding </item>
+ <item> iconv_set_encoding </item>
+ <item> ifx_affected_rows </item>
+ <item> ifx_blobinfile_mode </item>
+ <item> ifx_byteasvarchar </item>
+ <item> ifx_close </item>
+ <item> ifx_connect </item>
+ <item> ifx_copy_blob </item>
+ <item> ifx_create_blob </item>
+ <item> ifx_create_char </item>
+ <item> ifx_do </item>
+ <item> ifx_error </item>
+ <item> ifx_errormsg </item>
+ <item> ifx_fetch_row </item>
+ <item> ifx_fieldproperties </item>
+ <item> ifx_fieldtypes </item>
+ <item> ifx_free_blob </item>
+ <item> ifx_free_char </item>
+ <item> ifx_free_result </item>
+ <item> ifx_get_blob </item>
+ <item> ifx_get_char </item>
+ <item> ifx_getsqlca </item>
+ <item> ifx_htmltbl_result </item>
+ <item> ifx_nullformat </item>
+ <item> ifx_num_fields </item>
+ <item> ifx_num_rows </item>
+ <item> ifx_pconnect </item>
+ <item> ifx_prepare </item>
+ <item> ifx_query </item>
+ <item> ifx_textasvarchar </item>
+ <item> ifx_update_blob </item>
+ <item> ifx_update_char </item>
+ <item> ifxus_close_slob </item>
+ <item> ifxus_create_slob </item>
+ <item> ifxus_free_slob </item>
+ <item> ifxus_open_slob </item>
+ <item> ifxus_read_slob </item>
+ <item> ifxus_seek_slob </item>
+ <item> ifxus_tell_slob </item>
+ <item> ifxus_write_slob </item>
+ <item> ignore_user_abort </item>
+ <item> image2wbmp </item>
+ <item> imagealphablending </item>
+ <item> imagearc </item>
+ <item> imagechar </item>
+ <item> imagecharup </item>
+ <item> imagecolorallocate </item>
+ <item> imagecolorat </item>
+ <item> imagecolorclosest </item>
+ <item> imagecolorclosestalpha </item>
+ <item> imagecolorclosesthwb </item>
+ <item> imagecolordeallocate </item>
+ <item> imagecolorexact </item>
+ <item> imagecolorexactalpha </item>
+ <item> imagecolorresolve </item>
+ <item> imagecolorresolvealpha </item>
+ <item> imagecolorset </item>
+ <item> imagecolorsforindex </item>
+ <item> imagecolorstotal </item>
+ <item> imagecolortransparent </item>
+ <item> imagecopy </item>
+ <item> imagecopymerge </item>
+ <item> imagecopymergegray </item>
+ <item> imagecopyresampled </item>
+ <item> imagecopyresized </item>
+ <item> imagecreate </item>
+ <item> imagecreatefromgd </item>
+ <item> imagecreatefromgd2 </item>
+ <item> imagecreatefromgd2part </item>
+ <item> imagecreatefromgif </item>
+ <item> imagecreatefromjpeg </item>
+ <item> imagecreatefrompng </item>
+ <item> imagecreatefromstring </item>
+ <item> imagecreatefromwbmp </item>
+ <item> imagecreatefromxbm </item>
+ <item> imagecreatefromxpm </item>
+ <item> imagecreatetruecolor </item>
+ <item> imagedashedline </item>
+ <item> imagedestroy </item>
+ <item> imageellipse </item>
+ <item> imagefill </item>
+ <item> imagefilledarc </item>
+ <item> imagefilledellipse </item>
+ <item> imagefilledpolygon </item>
+ <item> imagefilledrectangle </item>
+ <item> imagefilltoborder </item>
+ <item> imagefontheight </item>
+ <item> imagefontwidth </item>
+ <item> imageftbbox </item>
+ <item> imagefttext </item>
+ <item> imagegammacorrect </item>
+ <item> imagegd </item>
+ <item> imagegd2 </item>
+ <item> imagegif </item>
+ <item> imageinterlace </item>
+ <item> imagejpeg </item>
+ <item> imageline </item>
+ <item> imageloadfont </item>
+ <item> imagepalettecopy </item>
+ <item> imagepng </item>
+ <item> imagepolygon </item>
+ <item> imagepsbbox </item>
+ <item> imagepsencodefont </item>
+ <item> imagepsextendfont </item>
+ <item> imagepsfreefont </item>
+ <item> imagepsloadfont </item>
+ <item> imagepsslantfont </item>
+ <item> imagepstext </item>
+ <item> imagerectangle </item>
+ <item> imagesetbrush </item>
+ <item> imagesetpixel </item>
+ <item> imagesetstyle </item>
+ <item> imagesetthickness </item>
+ <item> imagesettile </item>
+ <item> imagestring </item>
+ <item> imagestringup </item>
+ <item> imagesx </item>
+ <item> imagesy </item>
+ <item> imagetruecolortopalette </item>
+ <item> imagettfbbox </item>
+ <item> imagettftext </item>
+ <item> imagetypes </item>
+ <item> imagewbmp </item>
+ <item> imap_8bit </item>
+ <item> imap_alerts </item>
+ <item> imap_append </item>
+ <item> imap_base64 </item>
+ <item> imap_binary </item>
+ <item> imap_body </item>
+ <item> imap_bodystruct </item>
+ <item> imap_check </item>
+ <item> imap_clearflag_full </item>
+ <item> imap_close </item>
+ <item> imap_createmailbox </item>
+ <item> imap_delete </item>
+ <item> imap_deletemailbox </item>
+ <item> imap_errors </item>
+ <item> imap_expunge </item>
+ <item> imap_fetch_overview </item>
+ <item> imap_fetchbody </item>
+ <item> imap_fetchheader </item>
+ <item> imap_fetchstructure </item>
+ <item> imap_get_quota </item>
+ <item> imap_getmailboxes </item>
+ <item> imap_getsubscribed </item>
+ <item> imap_header </item>
+ <item> imap_headerinfo </item>
+ <item> imap_headers </item>
+ <item> imap_last_error </item>
+ <item> imap_listmailbox </item>
+ <item> imap_listsubscribed </item>
+ <item> imap_mail </item>
+ <item> imap_mail_compose </item>
+ <item> imap_mail_copy </item>
+ <item> imap_mail_move </item>
+ <item> imap_mailboxmsginfo </item>
+ <item> imap_mime_header_decode </item>
+ <item> imap_msgno </item>
+ <item> imap_num_msg </item>
+ <item> imap_num_recent </item>
+ <item> imap_open </item>
+ <item> imap_ping </item>
+ <item> imap_popen </item>
+ <item> imap_qprint </item>
+ <item> imap_renamemailbox </item>
+ <item> imap_reopen </item>
+ <item> imap_rfc822_parse_adrlist </item>
+ <item> imap_rfc822_parse_headers </item>
+ <item> imap_rfc822_write_address </item>
+ <item> imap_scanmailbox </item>
+ <item> imap_search </item>
+ <item> imap_set_quota </item>
+ <item> imap_setacl </item>
+ <item> imap_setflag_full </item>
+ <item> imap_sort </item>
+ <item> imap_status </item>
+ <item> imap_subscribe </item>
+ <item> imap_thread </item>
+ <item> imap_uid </item>
+ <item> imap_undelete </item>
+ <item> imap_unsubscribe </item>
+ <item> imap_utf7_decode </item>
+ <item> imap_utf7_encode </item>
+ <item> imap_utf8 </item>
+ <item> implode </item>
+ <item> import_request_variables </item>
+ <item> in_array </item>
+ <item> include </item>
+ <item> include_once </item>
+ <item> ingres_autocommit </item>
+ <item> ingres_close </item>
+ <item> ingres_commit </item>
+ <item> ingres_connect </item>
+ <item> ingres_fetch_array </item>
+ <item> ingres_fetch_object </item>
+ <item> ingres_fetch_row </item>
+ <item> ingres_field_length </item>
+ <item> ingres_field_name </item>
+ <item> ingres_field_nullable </item>
+ <item> ingres_field_precision </item>
+ <item> ingres_field_scale </item>
+ <item> ingres_field_type </item>
+ <item> ingres_num_fields </item>
+ <item> ingres_num_rows </item>
+ <item> ingres_pconnect </item>
+ <item> ingres_query </item>
+ <item> ingres_rollback </item>
+ <item> ini_alter </item>
+ <item> ini_get </item>
+ <item> ini_get_all </item>
+ <item> ini_restore </item>
+ <item> ini_set </item>
+ <item> intval </item>
+ <item> ip2long </item>
+ <item> iptcembed </item>
+ <item> iptcparse </item>
+ <item> ircg_channel_mode </item>
+ <item> ircg_disconnect </item>
+ <item> ircg_fetch_error_msg </item>
+ <item> ircg_get_username </item>
+ <item> ircg_html_encode </item>
+ <item> ircg_ignore_add </item>
+ <item> ircg_ignore_del </item>
+ <item> ircg_is_conn_alive </item>
+ <item> ircg_join </item>
+ <item> ircg_kick </item>
+ <item> ircg_lookup_format_messages </item>
+ <item> ircg_msg </item>
+ <item> ircg_nick </item>
+ <item> ircg_nickname_escape </item>
+ <item> ircg_nickname_unescape </item>
+ <item> ircg_notice </item>
+ <item> ircg_part </item>
+ <item> ircg_pconnect </item>
+ <item> ircg_register_format_messages </item>
+ <item> ircg_set_current </item>
+ <item> ircg_set_file </item>
+ <item> ircg_set_on_die </item>
+ <item> ircg_topic </item>
+ <item> ircg_whois </item>
+ <item> is_a </item>
+ <item> is_array </item>
+ <item> is_bool </item>
+ <item> is_callable </item>
+ <item> is_dir </item>
+ <item> is_double </item>
+ <item> is_executable </item>
+ <item> is_file </item>
+ <item> is_finite </item>
+ <item> is_float </item>
+ <item> is_infinite </item>
+ <item> is_int </item>
+ <item> is_integer </item>
+ <item> is_link </item>
+ <item> is_long </item>
+ <item> is_nan </item>
+ <item> is_null </item>
+ <item> is_numeric </item>
+ <item> is_object </item>
+ <item> is_readable </item>
+ <item> is_real </item>
+ <item> is_resource </item>
+ <item> is_scalar </item>
+ <item> is_string </item>
+ <item> is_subclass_of </item>
+ <item> is_uploaded_file </item>
+ <item> is_writable </item>
+ <item> is_writeable </item>
+ <item> isset </item>
+ <item> java_last_exception_clear </item>
+ <item> java_last_exception_get </item>
+ <item> jddayofweek </item>
+ <item> jdmonthname </item>
+ <item> jdtofrench </item>
+ <item> jdtogregorian </item>
+ <item> jdtojewish </item>
+ <item> jdtojulian </item>
+ <item> jdtounix </item>
+ <item> jewishtojd </item>
+ <item> join </item>
+ <item> jpeg2wbmp </item>
+ <item> juliantojd </item>
+ <item> key </item>
+ <item> krsort </item>
+ <item> ksort </item>
+ <item> lcg_value </item>
+ <item> ldap_8859_to_t61 </item>
+ <item> ldap_add </item>
+ <item> ldap_bind </item>
+ <item> ldap_close </item>
+ <item> ldap_compare </item>
+ <item> ldap_connect </item>
+ <item> ldap_count_entries </item>
+ <item> ldap_delete </item>
+ <item> ldap_dn2ufn </item>
+ <item> ldap_err2str </item>
+ <item> ldap_errno </item>
+ <item> ldap_error </item>
+ <item> ldap_explode_dn </item>
+ <item> ldap_first_attribute </item>
+ <item> ldap_first_entry </item>
+ <item> ldap_first_reference </item>
+ <item> ldap_free_result </item>
+ <item> ldap_get_attributes </item>
+ <item> ldap_get_dn </item>
+ <item> ldap_get_entries </item>
+ <item> ldap_get_option </item>
+ <item> ldap_get_values </item>
+ <item> ldap_get_values_len </item>
+ <item> ldap_list </item>
+ <item> ldap_mod_add </item>
+ <item> ldap_mod_del </item>
+ <item> ldap_mod_replace </item>
+ <item> ldap_modify </item>
+ <item> ldap_next_attribute </item>
+ <item> ldap_next_entry </item>
+ <item> ldap_next_reference </item>
+ <item> ldap_parse_reference </item>
+ <item> ldap_parse_result </item>
+ <item> ldap_read </item>
+ <item> ldap_rename </item>
+ <item> ldap_search </item>
+ <item> ldap_set_option </item>
+ <item> ldap_set_rebind_proc </item>
+ <item> ldap_sort </item>
+ <item> ldap_start_tls </item>
+ <item> ldap_t61_to_8859 </item>
+ <item> ldap_unbind </item>
+ <item> leak </item>
+ <item> levenshtein </item>
+ <item> link </item>
+ <item> linkinfo </item>
+ <item> list </item>
+ <item> localeconv </item>
+ <item> localtime </item>
+ <item> log </item>
+ <item> log10 </item>
+ <item> log1p </item>
+ <item> long2ip </item>
+ <item> lstat </item>
+ <item> ltrim </item>
+ <item> mail </item>
+ <item> mailparse_determine_best_xfer_encoding </item>
+ <item> mailparse_msg_create </item>
+ <item> mailparse_msg_extract_part </item>
+ <item> mailparse_msg_extract_part_file </item>
+ <item> mailparse_msg_free </item>
+ <item> mailparse_msg_get_part </item>
+ <item> mailparse_msg_get_part_data </item>
+ <item> mailparse_msg_get_structure </item>
+ <item> mailparse_msg_parse </item>
+ <item> mailparse_msg_parse_file </item>
+ <item> mailparse_rfc822_parse_addresses </item>
+ <item> mailparse_stream_encode </item>
+ <item> mailparse_uudecode_all </item>
+ <item> max </item>
+ <item> mb_convert_encoding </item>
+ <item> mb_convert_kana </item>
+ <item> mb_convert_variables </item>
+ <item> mb_decode_mimeheader </item>
+ <item> mb_decode_numericentity </item>
+ <item> mb_detect_encoding </item>
+ <item> mb_detect_order </item>
+ <item> mb_encode_mimeheader </item>
+ <item> mb_encode_numericentity </item>
+ <item> mb_ereg </item>
+ <item> mb_ereg_match </item>
+ <item> mb_ereg_replace </item>
+ <item> mb_ereg_search </item>
+ <item> mb_ereg_search_getpos </item>
+ <item> mb_ereg_search_getregs </item>
+ <item> mb_ereg_search_init </item>
+ <item> mb_ereg_search_pos </item>
+ <item> mb_ereg_search_regs </item>
+ <item> mb_ereg_search_setpos </item>
+ <item> mb_eregi </item>
+ <item> mb_eregi_replace </item>
+ <item> mb_get_info </item>
+ <item> mb_http_input </item>
+ <item> mb_http_output </item>
+ <item> mb_internal_encoding </item>
+ <item> mb_language </item>
+ <item> mb_output_handler </item>
+ <item> mb_parse_str </item>
+ <item> mb_preferred_mime_name </item>
+ <item> mb_regex_encoding </item>
+ <item> mb_send_mail </item>
+ <item> mb_split </item>
+ <item> mb_strcut </item>
+ <item> mb_strimwidth </item>
+ <item> mb_strlen </item>
+ <item> mb_strpos </item>
+ <item> mb_strrpos </item>
+ <item> mb_strwidth </item>
+ <item> mb_substitute_character </item>
+ <item> mb_substr </item>
+ <item> mcal_append_event </item>
+ <item> mcal_close </item>
+ <item> mcal_create_calendar </item>
+ <item> mcal_date_compare </item>
+ <item> mcal_date_valid </item>
+ <item> mcal_day_of_week </item>
+ <item> mcal_day_of_year </item>
+ <item> mcal_days_in_month </item>
+ <item> mcal_delete_calendar </item>
+ <item> mcal_delete_event </item>
+ <item> mcal_event_add_attribute </item>
+ <item> mcal_event_init </item>
+ <item> mcal_event_set_alarm </item>
+ <item> mcal_event_set_category </item>
+ <item> mcal_event_set_class </item>
+ <item> mcal_event_set_description </item>
+ <item> mcal_event_set_end </item>
+ <item> mcal_event_set_recur_daily </item>
+ <item> mcal_event_set_recur_monthly_mday </item>
+ <item> mcal_event_set_recur_monthly_wday </item>
+ <item> mcal_event_set_recur_none </item>
+ <item> mcal_event_set_recur_weekly </item>
+ <item> mcal_event_set_recur_yearly </item>
+ <item> mcal_event_set_start </item>
+ <item> mcal_event_set_title </item>
+ <item> mcal_expunge </item>
+ <item> mcal_fetch_current_stream_event </item>
+ <item> mcal_fetch_event </item>
+ <item> mcal_is_leap_year </item>
+ <item> mcal_list_alarms </item>
+ <item> mcal_list_events </item>
+ <item> mcal_next_recurrence </item>
+ <item> mcal_open </item>
+ <item> mcal_popen </item>
+ <item> mcal_rename_calendar </item>
+ <item> mcal_reopen </item>
+ <item> mcal_snooze </item>
+ <item> mcal_store_event </item>
+ <item> mcal_time_valid </item>
+ <item> mcal_week_of_year </item>
+ <item> mcrypt_cbc </item>
+ <item> mcrypt_cfb </item>
+ <item> mcrypt_create_iv </item>
+ <item> mcrypt_decrypt </item>
+ <item> mcrypt_ecb </item>
+ <item> mcrypt_enc_get_algorithms_name </item>
+ <item> mcrypt_enc_get_block_size </item>
+ <item> mcrypt_enc_get_iv_size </item>
+ <item> mcrypt_enc_get_key_size </item>
+ <item> mcrypt_enc_get_modes_name </item>
+ <item> mcrypt_enc_get_supported_key_sizes </item>
+ <item> mcrypt_enc_is_block_algorithm </item>
+ <item> mcrypt_enc_is_block_algorithm_mode </item>
+ <item> mcrypt_enc_is_block_mode </item>
+ <item> mcrypt_enc_self_test </item>
+ <item> mcrypt_encrypt </item>
+ <item> mcrypt_generic </item>
+ <item> mcrypt_generic_deinit </item>
+ <item> mcrypt_generic_end </item>
+ <item> mcrypt_generic_init </item>
+ <item> mcrypt_get_block_size </item>
+ <item> mcrypt_get_cipher_name </item>
+ <item> mcrypt_get_iv_size </item>
+ <item> mcrypt_get_key_size </item>
+ <item> mcrypt_list_algorithms </item>
+ <item> mcrypt_list_modes </item>
+ <item> mcrypt_module_close </item>
+ <item> mcrypt_module_get_algo_block_size </item>
+ <item> mcrypt_module_get_algo_key_size </item>
+ <item> mcrypt_module_get_supported_key_sizes </item>
+ <item> mcrypt_module_is_block_algorithm </item>
+ <item> mcrypt_module_is_block_algorithm_mode </item>
+ <item> mcrypt_module_is_block_mode </item>
+ <item> mcrypt_module_open </item>
+ <item> mcrypt_module_self_test </item>
+ <item> mcrypt_ofb </item>
+ <item> md5 </item>
+ <item> md5_file </item>
+ <item> mdecrypt_generic </item>
+ <item> metaphone </item>
+ <item> method_exists </item>
+ <item> mhash </item>
+ <item> mhash_count </item>
+ <item> mhash_get_block_size </item>
+ <item> mhash_get_hash_name </item>
+ <item> mhash_keygen_s2k </item>
+ <item> microtime </item>
+ <item> min </item>
+ <item> ming_setcubicthreshold </item>
+ <item> ming_setscale </item>
+ <item> ming_useswfversion </item>
+ <item> mkdir </item>
+ <item> mktime </item>
+ <item> move_uploaded_file </item>
+ <item> msession_connect </item>
+ <item> msession_count </item>
+ <item> msession_create </item>
+ <item> msession_destroy </item>
+ <item> msession_disconnect </item>
+ <item> msession_find </item>
+ <item> msession_get </item>
+ <item> msession_get_array </item>
+ <item> msession_getdata </item>
+ <item> msession_inc </item>
+ <item> msession_list </item>
+ <item> msession_listvar </item>
+ <item> msession_lock </item>
+ <item> msession_plugin </item>
+ <item> msession_randstr </item>
+ <item> msession_set </item>
+ <item> msession_set_array </item>
+ <item> msession_setdata </item>
+ <item> msession_timeout </item>
+ <item> msession_uniq </item>
+ <item> msession_unlock </item>
+ <item> msql </item>
+ <item> msql_affected_rows </item>
+ <item> msql_close </item>
+ <item> msql_connect </item>
+ <item> msql_create_db </item>
+ <item> msql_createdb </item>
+ <item> msql_data_seek </item>
+ <item> msql_dbname </item>
+ <item> msql_drop_db </item>
+ <item> msql_dropdb </item>
+ <item> </item>
+ <item>msql_error </item>
+ <item> msql_fetch_array </item>
+ <item> msql_fetch_field </item>
+ <item> msql_fetch_object </item>
+ <item> msql_fetch_row </item>
+ <item> msql_field_seek </item>
+ <item> msql_fieldflags </item>
+ <item> msql_fieldlen </item>
+ <item> msql_fieldname </item>
+ <item> msql_fieldtable </item>
+ <item> msql_fieldtype </item>
+ <item> msql_free_result </item>
+ <item> msql_freeresult </item>
+ <item> msql_list_dbs </item>
+ <item> msql_list_fields </item>
+ <item> msql_list_tables </item>
+ <item> msql_listdbs </item>
+ <item> msql_listfields </item>
+ <item> msql_listtables </item>
+ <item> msql_num_fields </item>
+ <item> msql_num_rows </item>
+ <item> msql_numfields </item>
+ <item> msql_numrows </item>
+ <item> msql_pconnect </item>
+ <item> msql_query </item>
+ <item> msql_regcase </item>
+ <item> msql_result </item>
+ <item> msql_select_db </item>
+ <item> msql_selectdb </item>
+ <item> msql_tablename </item>
+ <item> mssql_bind </item>
+ <item> mssql_close </item>
+ <item> mssql_connect </item>
+ <item> mssql_data_seek </item>
+ <item> mssql_execute </item>
+ <item> mssql_fetch_array </item>
+ <item> mssql_fetch_assoc </item>
+ <item> mssql_fetch_batch </item>
+ <item> mssql_fetch_field </item>
+ <item> mssql_fetch_object </item>
+ <item> mssql_fetch_row </item>
+ <item> mssql_field_length </item>
+ <item> mssql_field_name </item>
+ <item> mssql_field_seek </item>
+ <item> mssql_field_type </item>
+ <item> mssql_free_result </item>
+ <item> mssql_get_last_message </item>
+ <item> mssql_guid_string </item>
+ <item> mssql_init </item>
+ <item> mssql_min_error_severity </item>
+ <item> mssql_min_message_severity </item>
+ <item> mssql_next_result </item>
+ <item> mssql_num_fields </item>
+ <item> mssql_num_rows </item>
+ <item> mssql_pconnect </item>
+ <item> mssql_query </item>
+ <item> mssql_result </item>
+ <item> mssql_rows_affected </item>
+ <item> mssql_select_db </item>
+ <item> mt_getrandmax </item>
+ <item> mt_rand </item>
+ <item> mt_srand </item>
+ <item> muscat_close </item>
+ <item> muscat_get </item>
+ <item> muscat_give </item>
+ <item> muscat_setup </item>
+ <item> muscat_setup_net </item>
+ <item> mysql_affected_rows </item>
+ <item> mysql_change_user </item>
+ <item> mysql_character_set_name </item>
+ <item> mysql_close </item>
+ <item> mysql_connect </item>
+ <item> mysql_create_db </item>
+ <item> mysql_data_seek </item>
+ <item> mysql_db_name </item>
+ <item> mysql_db_query </item>
+ <item> mysql_drop_db </item>
+ <item> mysql_errno </item>
+ <item> mysql_error </item>
+ <item> mysql_escape_string </item>
+ <item> mysql_fetch_array </item>
+ <item> mysql_fetch_assoc </item>
+ <item> mysql_fetch_field </item>
+ <item> mysql_fetch_lengths </item>
+ <item> mysql_fetch_object </item>
+ <item> mysql_fetch_row </item>
+ <item> mysql_field_flags </item>
+ <item> mysql_field_len </item>
+ <item> mysql_field_name </item>
+ <item> mysql_field_seek </item>
+ <item> mysql_field_table </item>
+ <item> mysql_field_type </item>
+ <item> mysql_free_result </item>
+ <item> mysql_get_client_info </item>
+ <item> mysql_get_host_info </item>
+ <item> mysql_get_proto_info </item>
+ <item> mysql_get_server_info </item>
+ <item> mysql_info </item>
+ <item> mysql_insert_id </item>
+ <item> mysql_list_dbs </item>
+ <item> mysql_list_fields </item>
+ <item> mysql_list_processes </item>
+ <item> mysql_list_tables </item>
+ <item> mysql_num_fields </item>
+ <item> mysql_num_rows </item>
+ <item> mysql_pconnect </item>
+ <item> mysql_ping </item>
+ <item> mysql_query </item>
+ <item> mysql_real_escape_string </item>
+ <item> mysql_result </item>
+ <item> mysql_select_db </item>
+ <item> mysql_stat </item>
+ <item> mysql_tablename </item>
+ <item> mysql_thread_id </item>
+ <item> mysql_unbuffered_query </item>
+ <item> natcasesort </item>
+ <item> natsort </item>
+ <item> ncurses_addch </item>
+ <item> ncurses_addchnstr </item>
+ <item> ncurses_addchstr </item>
+ <item> ncurses_addnstr </item>
+ <item> ncurses_addstr </item>
+ <item> ncurses_assume_default_colors </item>
+ <item> ncurses_attroff </item>
+ <item> ncurses_attron </item>
+ <item> ncurses_attrset </item>
+ <item> ncurses_baudrate </item>
+ <item> ncurses_beep </item>
+ <item> ncurses_bkgd </item>
+ <item> ncurses_bkgdset </item>
+ <item> ncurses_border </item>
+ <item> ncurses_can_change_color </item>
+ <item> ncurses_cbreak </item>
+ <item> ncurses_clear </item>
+ <item> ncurses_clrtobot </item>
+ <item> ncurses_clrtoeol </item>
+ <item> ncurses_color_set </item>
+ <item> ncurses_curs_set </item>
+ <item> ncurses_def_prog_mode </item>
+ <item> ncurses_def_shell_mode </item>
+ <item> ncurses_define_key </item>
+ <item> ncurses_delay_output </item>
+ <item> ncurses_delch </item>
+ <item> ncurses_deleteln </item>
+ <item> ncurses_delwin </item>
+ <item> ncurses_doupdate </item>
+ <item> ncurses_echo </item>
+ <item> ncurses_echochar </item>
+ <item> ncurses_end </item>
+ <item> ncurses_erase </item>
+ <item> ncurses_erasechar </item>
+ <item> ncurses_filter </item>
+ <item> ncurses_flash </item>
+ <item> ncurses_flushinp </item>
+ <item> ncurses_getch </item>
+ <item> ncurses_getmouse </item>
+ <item> ncurses_halfdelay </item>
+ <item> ncurses_has_colors </item>
+ <item> ncurses_has_ic </item>
+ <item> ncurses_has_il </item>
+ <item> ncurses_has_key </item>
+ <item> ncurses_hline </item>
+ <item> ncurses_inch </item>
+ <item> ncurses_init </item>
+ <item> ncurses_init_color </item>
+ <item> ncurses_init_pair </item>
+ <item> ncurses_insch </item>
+ <item> ncurses_insdelln </item>
+ <item> ncurses_insertln </item>
+ <item> ncurses_insstr </item>
+ <item> ncurses_instr </item>
+ <item> ncurses_isendwin </item>
+ <item> ncurses_keyok </item>
+ <item> ncurses_killchar </item>
+ <item> ncurses_longname </item>
+ <item> ncurses_mouseinterval </item>
+ <item> ncurses_mousemask </item>
+ <item> ncurses_move </item>
+ <item> ncurses_mvaddch </item>
+ <item> ncurses_mvaddchnstr </item>
+ <item> ncurses_mvaddchstr </item>
+ <item> ncurses_mvaddnstr </item>
+ <item> ncurses_mvaddstr </item>
+ <item> ncurses_mvcur </item>
+ <item> ncurses_mvdelch </item>
+ <item> ncurses_mvgetch </item>
+ <item> ncurses_mvhline </item>
+ <item> ncurses_mvinch </item>
+ <item> ncurses_mvvline </item>
+ <item> ncurses_mvwaddstr </item>
+ <item> ncurses_napms </item>
+ <item> ncurses_newwin </item>
+ <item> ncurses_nl </item>
+ <item> ncurses_nocbreak </item>
+ <item> ncurses_noecho </item>
+ <item> ncurses_nonl </item>
+ <item> ncurses_noqiflush </item>
+ <item> ncurses_noraw </item>
+ <item> ncurses_putp </item>
+ <item> ncurses_qiflush </item>
+ <item> ncurses_raw </item>
+ <item> ncurses_refresh </item>
+ <item> ncurses_resetty </item>
+ <item> ncurses_savetty </item>
+ <item> ncurses_scr_dump </item>
+ <item> ncurses_scr_init </item>
+ <item> ncurses_scr_restore </item>
+ <item> ncurses_scr_set </item>
+ <item> ncurses_scrl </item>
+ <item> ncurses_slk_attr </item>
+ <item> ncurses_slk_attroff </item>
+ <item> ncurses_slk_attron </item>
+ <item> ncurses_slk_attrset </item>
+ <item> ncurses_slk_clear </item>
+ <item> ncurses_slk_color </item>
+ <item> ncurses_slk_init </item>
+ <item> ncurses_slk_noutrefresh </item>
+ <item> ncurses_slk_refresh </item>
+ <item> ncurses_slk_restore </item>
+ <item> ncurses_slk_touch </item>
+ <item> ncurses_standend </item>
+ <item> ncurses_standout </item>
+ <item> ncurses_start_color </item>
+ <item> ncurses_termattrs </item>
+ <item> ncurses_termname </item>
+ <item> ncurses_timeout </item>
+ <item> ncurses_typeahead </item>
+ <item> ncurses_ungetch </item>
+ <item> ncurses_ungetmouse </item>
+ <item> ncurses_use_default_colors </item>
+ <item> ncurses_use_env </item>
+ <item> ncurses_use_extended_names </item>
+ <item> ncurses_vidattr </item>
+ <item> ncurses_vline </item>
+ <item> ncurses_wrefresh </item>
+ <item> next </item>
+ <item> ngettext </item>
+ <item> nl2br </item>
+ <item> nl_langinfo </item>
+ <item> notes_body </item>
+ <item> notes_copy_db </item>
+ <item> notes_create_db </item>
+ <item> notes_create_note </item>
+ <item> notes_drop_db </item>
+ <item> notes_find_note </item>
+ <item> notes_header_info </item>
+ <item> notes_list_msgs </item>
+ <item> notes_mark_read </item>
+ <item> notes_mark_unread </item>
+ <item> notes_nav_create </item>
+ <item> notes_search </item>
+ <item> notes_unread </item>
+ <item> notes_version </item>
+ <item> number_format </item>
+ <item> ob_clean </item>
+ <item> ob_end_clean </item>
+ <item> ob_end_flush </item>
+ <item> ob_flush </item>
+ <item> ob_get_contents </item>
+ <item> ob_get_length </item>
+ <item> ob_get_level </item>
+ <item> ob_gzhandler </item>
+ <item> ob_iconv_handler </item>
+ <item> ob_implicit_flush </item>
+ <item> ob_start </item>
+ <item> ocibindbyname </item>
+ <item> ocicancel </item>
+ <item> ocicollappend </item>
+ <item> ocicollassign </item>
+ <item> ocicollassignelem </item>
+ <item> ocicollgetelem </item>
+ <item> ocicollmax </item>
+ <item> ocicollsize </item>
+ <item> ocicolltrim </item>
+ <item> ocicolumnisnull </item>
+ <item> ocicolumnname </item>
+ <item> ocicolumnprecision </item>
+ <item> ocicolumnscale </item>
+ <item> ocicolumnsize </item>
+ <item> ocicolumntype </item>
+ <item> ocicolumntyperaw </item>
+ <item> ocicommit </item>
+ <item> ocidefinebyname </item>
+ <item> ocierror </item>
+ <item> ociexecute </item>
+ <item> ocifetch </item>
+ <item> ocifetchinto </item>
+ <item> ocifetchstatement </item>
+ <item> ocifreecollection </item>
+ <item> ocifreecursor </item>
+ <item> ocifreedesc </item>
+ <item> ocifreestatement </item>
+ <item> ociinternaldebug </item>
+ <item> ociloadlob </item>
+ <item> ocilogoff </item>
+ <item> ocilogon </item>
+ <item> ocinewcollection </item>
+ <item> ocinewcursor </item>
+ <item> ocinewdescriptor </item>
+ <item> ocinlogon </item>
+ <item> ocinumcols </item>
+ <item> ociparse </item>
+ <item> ociplogon </item>
+ <item> ociresult </item>
+ <item> ocirollback </item>
+ <item> ocirowcount </item>
+ <item> ocisavelob </item>
+ <item> ocisavelobfile </item>
+ <item> ociserverversion </item>
+ <item> ocisetprefetch </item>
+ <item> ocistatementtype </item>
+ <item> ociwritelobtofile </item>
+ <item> octdec </item>
+ <item> odbc_autocommit </item>
+ <item> odbc_binmode </item>
+ <item> odbc_close </item>
+ <item> odbc_close_all </item>
+ <item> odbc_columnprivileges </item>
+ <item> odbc_columns </item>
+ <item> odbc_commit </item>
+ <item> odbc_connect </item>
+ <item> odbc_cursor </item>
+ <item> odbc_do </item>
+ <item> odbc_error </item>
+ <item> odbc_errormsg </item>
+ <item> odbc_exec </item>
+ <item> odbc_execute </item>
+ <item> odbc_fetch_array </item>
+ <item> odbc_fetch_into </item>
+ <item> odbc_fetch_object </item>
+ <item> odbc_fetch_row </item>
+ <item> odbc_field_len </item>
+ <item> odbc_field_name </item>
+ <item> odbc_field_num </item>
+ <item> odbc_field_precision </item>
+ <item> odbc_field_scale </item>
+ <item> odbc_field_type </item>
+ <item> odbc_foreignkeys </item>
+ <item> odbc_free_result </item>
+ <item> odbc_gettypeinfo </item>
+ <item> odbc_longreadlen </item>
+ <item> odbc_next_result </item>
+ <item> odbc_num_fields </item>
+ <item> odbc_num_rows </item>
+ <item> odbc_pconnect </item>
+ <item> odbc_prepare </item>
+ <item> odbc_primarykeys </item>
+ <item> odbc_procedurecolumns </item>
+ <item> odbc_procedures </item>
+ <item> odbc_result </item>
+ <item> odbc_result_all </item>
+ <item> odbc_rollback </item>
+ <item> odbc_setoption </item>
+ <item> odbc_specialcolumns </item>
+ <item> odbc_statistics </item>
+ <item> odbc_tableprivileges </item>
+ <item> odbc_tables </item>
+ <item> opendir </item>
+ <item> openlog </item>
+ <item> openssl_csr_export </item>
+ <item> openssl_csr_export_to_file </item>
+ <item> openssl_csr_new </item>
+ <item> openssl_csr_sign </item>
+ <item> openssl_error_string </item>
+ <item> openssl_free_key </item>
+ <item> openssl_get_privatekey </item>
+ <item> openssl_get_publickey </item>
+ <item> openssl_open </item>
+ <item> openssl_pkcs7_decrypt </item>
+ <item> openssl_pkcs7_encrypt </item>
+ <item> openssl_pkcs7_sign </item>
+ <item> openssl_pkcs7_verify </item>
+ <item> openssl_pkey_export </item>
+ <item> openssl_pkey_export_to_file </item>
+ <item> openssl_pkey_new </item>
+ <item> openssl_private_decrypt </item>
+ <item> openssl_private_encrypt </item>
+ <item> openssl_public_decrypt </item>
+ <item> openssl_public_encrypt </item>
+ <item> openssl_seal </item>
+ <item> openssl_sign </item>
+ <item> openssl_verify </item>
+ <item> openssl_x509_check_private_key </item>
+ <item> openssl_x509_checkpurpose </item>
+ <item> openssl_x509_export </item>
+ <item> openssl_x509_export_to_file </item>
+ <item> openssl_x509_free </item>
+ <item> openssl_x509_parse </item>
+ <item> openssl_x509_read </item>
+ <item> ora_bind </item>
+ <item> ora_close </item>
+ <item> ora_columnname </item>
+ <item> ora_columnsize </item>
+ <item> ora_columntype </item>
+ <item> ora_commit </item>
+ <item> ora_commitoff </item>
+ <item> ora_commiton </item>
+ <item> ora_do </item>
+ <item> ora_error </item>
+ <item> ora_errorcode </item>
+ <item> ora_exec </item>
+ <item> ora_fetch </item>
+ <item> ora_fetch_into </item>
+ <item> ora_getcolumn </item>
+ <item> ora_logoff </item>
+ <item> ora_logon </item>
+ <item> ora_numcols </item>
+ <item> ora_numrows </item>
+ <item> ora_open </item>
+ <item> ora_parse </item>
+ <item> ora_plogon </item>
+ <item> ora_rollback </item>
+ <item> ord </item>
+ <item> overload </item>
+ <item> ovrimos_close </item>
+ <item> ovrimos_commit </item>
+ <item> ovrimos_connect </item>
+ <item> ovrimos_cursor </item>
+ <item> ovrimos_exec </item>
+ <item> ovrimos_execute </item>
+ <item> ovrimos_fetch_into </item>
+ <item> ovrimos_fetch_row </item>
+ <item> ovrimos_field_len </item>
+ <item> ovrimos_field_name </item>
+ <item> ovrimos_field_num </item>
+ <item> ovrimos_field_type </item>
+ <item> ovrimos_free_result </item>
+ <item> ovrimos_longreadlen </item>
+ <item> ovrimos_num_fields </item>
+ <item> ovrimos_num_rows </item>
+ <item> ovrimos_prepare </item>
+ <item> ovrimos_result </item>
+ <item> ovrimos_result_all </item>
+ <item> ovrimos_rollback </item>
+ <item> pack </item>
+ <item> parse_ini_file </item>
+ <item> parse_str </item>
+ <item> parse_url </item>
+ <item> passthru </item>
+ <item> pathinfo </item>
+ <item> pclose </item>
+ <item> pcntl_exec </item>
+ <item> pcntl_fork </item>
+ <item> pcntl_signal </item>
+ <item> pcntl_waitpid </item>
+ <item> pcntl_wexitstatus </item>
+ <item> pcntl_wifexited </item>
+ <item> pcntl_wifsignaled </item>
+ <item> pcntl_wifstopped </item>
+ <item> pcntl_wstopsig </item>
+ <item> pcntl_wtermsig </item>
+ <item> pdf_add_annotation </item>
+ <item> pdf_add_bookmark </item>
+ <item> pdf_add_launchlink </item>
+ <item> pdf_add_locallink </item>
+ <item> pdf_add_note </item>
+ <item> pdf_add_outline </item>
+ <item> pdf_add_pdflink </item>
+ <item> pdf_add_thumbnail </item>
+ <item> pdf_add_weblink </item>
+ <item> pdf_arc </item>
+ <item> pdf_arcn </item>
+ <item> pdf_attach_file </item>
+ <item> pdf_begin_page </item>
+ <item> pdf_begin_pattern </item>
+ <item> pdf_begin_template </item>
+ <item> pdf_circle </item>
+ <item> pdf_clip </item>
+ <item> pdf_close </item>
+ <item> pdf_close_image </item>
+ <item> pdf_close_pdi </item>
+ <item> pdf_close_pdi_page </item>
+ <item> pdf_closepath </item>
+ <item> pdf_closepath_fill_stroke </item>
+ <item> pdf_closepath_stroke </item>
+ <item> pdf_concat </item>
+ <item> pdf_continue_text </item>
+ <item> pdf_curveto </item>
+ <item> pdf_delete </item>
+ <item> pdf_end_page </item>
+ <item> pdf_end_pattern </item>
+ <item> pdf_end_template </item>
+ <item> pdf_endpath </item>
+ <item> pdf_fill </item>
+ <item> pdf_fill_stroke </item>
+ <item> pdf_findfont </item>
+ <item> pdf_get_buffer </item>
+ <item> pdf_get_font </item>
+ <item> pdf_get_fontname </item>
+ <item> pdf_get_fontsize </item>
+ <item> pdf_get_image_height </item>
+ <item> pdf_get_image_width </item>
+ <item> pdf_get_majorversion </item>
+ <item> pdf_get_minorversion </item>
+ <item> pdf_get_parameter </item>
+ <item> pdf_get_pdi_parameter </item>
+ <item> pdf_get_pdi_value </item>
+ <item> pdf_get_value </item>
+ <item> pdf_initgraphics </item>
+ <item> pdf_lineto </item>
+ <item> pdf_makespotcolor </item>
+ <item> pdf_moveto </item>
+ <item> pdf_new </item>
+ <item> pdf_open </item>
+ <item> pdf_open_ccitt </item>
+ <item> pdf_open_file </item>
+ <item> pdf_open_gif </item>
+ <item> pdf_open_image </item>
+ <item> pdf_open_image_file </item>
+ <item> pdf_open_jpeg </item>
+ <item> pdf_open_memory_image </item>
+ <item> pdf_open_pdi </item>
+ <item> pdf_open_pdi_page </item>
+ <item> pdf_open_png </item>
+ <item> pdf_open_tiff </item>
+ <item> pdf_place_image </item>
+ <item> pdf_place_pdi_page </item>
+ <item> pdf_rect </item>
+ <item> pdf_restore </item>
+ <item> pdf_rotate </item>
+ <item> pdf_save </item>
+ <item> pdf_scale </item>
+ <item> pdf_set_border_color </item>
+ <item> pdf_set_border_dash </item>
+ <item> pdf_set_border_style </item>
+ <item> pdf_set_char_spacing </item>
+ <item> pdf_set_duration </item>
+ <item> pdf_set_font </item>
+ <item> pdf_set_horiz_scaling </item>
+ <item> pdf_set_info </item>
+ <item> pdf_set_info_author </item>
+ <item> pdf_set_info_creator </item>
+ <item> pdf_set_info_keywords </item>
+ <item> pdf_set_info_subject </item>
+ <item> pdf_set_info_title </item>
+ <item> pdf_set_leading </item>
+ <item> pdf_set_parameter </item>
+ <item> pdf_set_text_pos </item>
+ <item> pdf_set_text_rendering </item>
+ <item> pdf_set_text_rise </item>
+ <item> pdf_set_transition </item>
+ <item> pdf_set_value </item>
+ <item> pdf_set_word_spacing </item>
+ <item> pdf_setcolor </item>
+ <item> pdf_setdash </item>
+ <item> pdf_setflat </item>
+ <item> pdf_setfont </item>
+ <item> pdf_setgray </item>
+ <item> pdf_setgray_fill </item>
+ <item> pdf_setgray_stroke </item>
+ <item> pdf_setlinecap </item>
+ <item> pdf_setlinejoin </item>
+ <item> pdf_setlinewidth </item>
+ <item> pdf_setmatrix </item>
+ <item> pdf_setmiterlimit </item>
+ <item> pdf_setpolydash </item>
+ <item> pdf_setrgbcolor </item>
+ <item> pdf_setrgbcolor_fill </item>
+ <item> pdf_setrgbcolor_stroke </item>
+ <item> pdf_show </item>
+ <item> pdf_show_boxed </item>
+ <item> pdf_show_xy </item>
+ <item> pdf_skew </item>
+ <item> pdf_stringwidth </item>
+ <item> pdf_stroke </item>
+ <item> pdf_translate </item>
+ <item> pfpro_cleanup </item>
+ <item> pfpro_init </item>
+ <item> pfpro_process </item>
+ <item> pfpro_process_raw </item>
+ <item> pfpro_version </item>
+ <item> pfsockopen </item>
+ <item> pg_affected_rows </item>
+ <item> pg_cancel_query </item>
+ <item> pg_client_encoding </item>
+ <item> pg_close </item>
+ <item> pg_connect </item>
+ <item> pg_connection_busy </item>
+ <item> pg_connection_reset </item>
+ <item> pg_connection_status </item>
+ <item> pg_copy_from </item>
+ <item> pg_copy_to </item>
+ <item> pg_dbname </item>
+ <item> pg_end_copy </item>
+ <item> pg_escape_bytea </item>
+ <item> pg_escape_string </item>
+ <item> pg_fetch_array </item>
+ <item> pg_fetch_object </item>
+ <item> pg_fetch_result </item>
+ <item> pg_fetch_row </item>
+ <item> pg_field_is_null </item>
+ <item> pg_field_name </item>
+ <item> pg_field_num </item>
+ <item> pg_field_prtlen </item>
+ <item> pg_field_size </item>
+ <item> pg_field_type </item>
+ <item> pg_free_result </item>
+ <item> pg_get_result </item>
+ <item> pg_host </item>
+ <item> pg_last_error </item>
+ <item> pg_last_notice </item>
+ <item> pg_last_oid </item>
+ <item> pg_lo_close </item>
+ <item> pg_lo_create </item>
+ <item> pg_lo_export </item>
+ <item> pg_lo_import </item>
+ <item> pg_lo_open </item>
+ <item> pg_lo_read </item>
+ <item> pg_lo_read_all </item>
+ <item> pg_lo_seek </item>
+ <item> pg_lo_tell </item>
+ <item> pg_lo_unlink </item>
+ <item> pg_lo_write </item>
+ <item> pg_num_fields </item>
+ <item> pg_num_rows </item>
+ <item> pg_options </item>
+ <item> pg_pconnect </item>
+ <item> pg_port </item>
+ <item> pg_put_line </item>
+ <item> pg_query </item>
+ <item> pg_result_error </item>
+ <item> pg_result_status </item>
+ <item> pg_send_query </item>
+ <item> pg_set_client_encoding </item>
+ <item> pg_trace </item>
+ <item> pg_tty </item>
+ <item> pg_untrace </item>
+ <item> php_logo_guid </item>
+ <item> php_sapi_name </item>
+ <item> php_uname </item>
+ <item> phpcredits </item>
+ <item> phpinfo </item>
+ <item> phpversion </item>
+ <item> pi </item>
+ <item> png2wbmp </item>
+ <item> popen </item>
+ <item> pos </item>
+ <item> posix_ctermid </item>
+ <item> posix_getcwd </item>
+ <item> posix_getegid </item>
+ <item> posix_geteuid </item>
+ <item> posix_getgid </item>
+ <item> posix_getgrgid </item>
+ <item> posix_getgrnam </item>
+ <item> posix_getgroups </item>
+ <item> posix_getlogin </item>
+ <item> posix_getpgid </item>
+ <item> posix_getpgrp </item>
+ <item> posix_getpid </item>
+ <item> posix_getppid </item>
+ <item> posix_getpwnam </item>
+ <item> posix_getpwuid </item>
+ <item> posix_getrlimit </item>
+ <item> posix_getsid </item>
+ <item> posix_getuid </item>
+ <item> posix_isatty </item>
+ <item> posix_kill </item>
+ <item> posix_mkfifo </item>
+ <item> posix_setegid </item>
+ <item> posix_seteuid </item>
+ <item> posix_setgid </item>
+ <item> posix_setpgid </item>
+ <item> posix_setsid </item>
+ <item> posix_setuid </item>
+ <item> posix_times </item>
+ <item> posix_ttyname </item>
+ <item> posix_uname </item>
+ <item> pow </item>
+ <item> preg_grep </item>
+ <item> preg_match </item>
+ <item> preg_match_all </item>
+ <item> preg_quote </item>
+ <item> preg_replace </item>
+ <item> preg_replace_callback </item>
+ <item> preg_split </item>
+ <item> prev </item>
+ <item> print </item>
+ <item> print_r </item>
+ <item> printer_abort </item>
+ <item> printer_close </item>
+ <item> printer_create_brush </item>
+ <item> printer_create_dc </item>
+ <item> printer_create_font </item>
+ <item> printer_create_pen </item>
+ <item> printer_delete_brush </item>
+ <item> printer_delete_dc </item>
+ <item> printer_delete_font </item>
+ <item> printer_delete_pen </item>
+ <item> printer_draw_bmp </item>
+ <item> printer_draw_chord </item>
+ <item> printer_draw_elipse </item>
+ <item> printer_draw_line </item>
+ <item> printer_draw_pie </item>
+ <item> printer_draw_rectangle </item>
+ <item> printer_draw_roundrect </item>
+ <item> printer_draw_text </item>
+ <item> printer_end_doc </item>
+ <item> printer_end_page </item>
+ <item> printer_get_option </item>
+ <item> printer_list </item>
+ <item> printer_logical_fontheight </item>
+ <item> printer_open </item>
+ <item> printer_select_brush </item>
+ <item> printer_select_font </item>
+ <item> printer_select_pen </item>
+ <item> printer_set_option </item>
+ <item> printer_start_doc </item>
+ <item> printer_start_page </item>
+ <item> printer_write </item>
+ <item> printf </item>
+ <item> pspell_add_to_personal </item>
+ <item> pspell_add_to_session </item>
+ <item> pspell_check </item>
+ <item> pspell_clear_session </item>
+ <item> pspell_config_create </item>
+ <item> pspell_config_ignore </item>
+ <item> pspell_config_mode </item>
+ <item> pspell_config_personal </item>
+ <item> pspell_config_repl </item>
+ <item> pspell_config_runtogether </item>
+ <item> pspell_config_save_repl </item>
+ <item> pspell_new </item>
+ <item> pspell_new_config </item>
+ <item> pspell_new_personal </item>
+ <item> pspell_save_wordlist </item>
+ <item> pspell_store_replacement </item>
+ <item> pspell_suggest </item>
+ <item> putenv </item>
+ <item> qdom_error </item>
+ <item> qdom_tree </item>
+ <item> quoted_printable_decode </item>
+ <item> quotemeta </item>
+ <item> rad2deg </item>
+ <item> rand </item>
+ <item> range </item>
+ <item> rawurldecode </item>
+ <item> rawurlencode </item>
+ <item> read_exif_data </item>
+ <item> readdir </item>
+ <item> readfile </item>
+ <item> readgzfile </item>
+ <item> readline </item>
+ <item> readline_add_history </item>
+ <item> readline_clear_history </item>
+ <item> readline_completion_function </item>
+ <item> readline_info </item>
+ <item> readline_list_history </item>
+ <item> readline_read_history </item>
+ <item> readline_write_history </item>
+ <item> readlink </item>
+ <item> realpath </item>
+ <item> recode </item>
+ <item> recode_file </item>
+ <item> recode_string </item>
+ <item> register_shutdown_function </item>
+ <item> register_tick_function </item>
+ <item> rename </item>
+ <item> require </item>
+ <item> require_once </item>
+ <item> reset </item>
+ <item> restore_error_handler </item>
+ <item> return </item>
+ <item> rewind </item>
+ <item> rewinddir </item>
+ <item> rmdir </item>
+ <item> round </item>
+ <item> rsort </item>
+ <item> rtrim </item>
+ <item> sem_acquire </item>
+ <item> sem_get </item>
+ <item> sem_release </item>
+ <item> sem_remove </item>
+ <item> serialize </item>
+ <item> sesam_affected_rows </item>
+ <item> sesam_commit </item>
+ <item> sesam_connect </item>
+ <item> sesam_diagnostic </item>
+ <item> sesam_disconnect </item>
+ <item> sesam_errormsg </item>
+ <item> sesam_execimm </item>
+ <item> sesam_fetch_array </item>
+ <item> sesam_fetch_result </item>
+ <item> sesam_fetch_row </item>
+ <item> sesam_field_array </item>
+ <item> sesam_field_name </item>
+ <item> sesam_free_result </item>
+ <item> sesam_num_fields </item>
+ <item> sesam_query </item>
+ <item> sesam_rollback </item>
+ <item> sesam_seek_row </item>
+ <item> sesam_settransaction </item>
+ <item> session_cache_expire </item>
+ <item> session_cache_limiter </item>
+ <item> session_decode </item>
+ <item> session_destroy </item>
+ <item> session_encode </item>
+ <item> session_get_cookie_params </item>
+ <item> session_id </item>
+ <item> session_is_registered </item>
+ <item> session_module_name </item>
+ <item> session_name </item>
+ <item> session_register </item>
+ <item> session_save_path </item>
+ <item> session_set_cookie_params </item>
+ <item> session_set_save_handler </item>
+ <item> session_start </item>
+ <item> session_unregister </item>
+ <item> session_unset </item>
+ <item> session_write_close </item>
+ <item> set_error_handler </item>
+ <item> set_file_buffer </item>
+ <item> set_magic_quotes_runtime </item>
+ <item> set_time_limit </item>
+ <item> setcookie </item>
+ <item> setlocale </item>
+ <item> settype </item>
+ <item> shell_exec </item>
+ <item> shm_attach </item>
+ <item> shm_detach </item>
+ <item> shm_get_var </item>
+ <item> shm_put_var </item>
+ <item> shm_remove </item>
+ <item> shm_remove_var </item>
+ <item> shmop_close </item>
+ <item> shmop_delete </item>
+ <item> shmop_open </item>
+ <item> shmop_read </item>
+ <item> shmop_size </item>
+ <item> shmop_write </item>
+ <item> show_source </item>
+ <item> shuffle </item>
+ <item> similar_text </item>
+ <item> sin </item>
+ <item> sinh </item>
+ <item> sizeof </item>
+ <item> sleep </item>
+ <item> snmp_get_quick_print </item>
+ <item> snmp_set_quick_print </item>
+ <item> snmpget </item>
+ <item> snmprealwalk </item>
+ <item> snmpset </item>
+ <item> snmpwalk </item>
+ <item> snmpwalkoid </item>
+ <item> socket_accept </item>
+ <item> socket_bind </item>
+ <item> socket_close </item>
+ <item> socket_connect </item>
+ <item> socket_create </item>
+ <item> socket_create_listen </item>
+ <item> socket_create_pair </item>
+ <item> socket_fd_alloc </item>
+ <item> socket_fd_clear </item>
+ <item> socket_fd_free </item>
+ <item> socket_fd_isset </item>
+ <item> socket_fd_set </item>
+ <item> socket_fd_zero </item>
+ <item> socket_get_status </item>
+ <item> socket_getopt </item>
+ <item> socket_getpeername </item>
+ <item> socket_getsockname </item>
+ <item> socket_iovec_add </item>
+ <item> socket_iovec_alloc </item>
+ <item> socket_iovec_delete </item>
+ <item> socket_iovec_fetch </item>
+ <item> socket_iovec_free </item>
+ <item> socket_iovec_set </item>
+ <item> socket_last_error </item>
+ <item> socket_listen </item>
+ <item> socket_read </item>
+ <item> socket_readv </item>
+ <item> socket_recv </item>
+ <item> socket_recvfrom </item>
+ <item> socket_recvmsg </item>
+ <item> socket_select </item>
+ <item> socket_send </item>
+ <item> socket_sendmsg </item>
+ <item> socket_sendto </item>
+ <item> socket_set_blocking </item>
+ <item> socket_set_nonblock </item>
+ <item> socket_set_timeout </item>
+ <item> socket_setopt </item>
+ <item> socket_shutdown </item>
+ <item> socket_strerror </item>
+ <item> socket_write </item>
+ <item> socket_writev </item>
+ <item> sort </item>
+ <item> soundex </item>
+ <item> split </item>
+ <item> spliti </item>
+ <item> sprintf </item>
+ <item> sql_regcase </item>
+ <item> sqrt </item>
+ <item> srand </item>
+ <item> sscanf </item>
+ <item> stat </item>
+ <item> str_pad </item>
+ <item> str_repeat </item>
+ <item> str_replace </item>
+ <item> str_rot13 </item>
+ <item> strcasecmp </item>
+ <item> strchr </item>
+ <item> strcmp </item>
+ <item> strcoll </item>
+ <item> strcspn </item>
+ <item> strftime </item>
+ <item> strip_tags </item>
+ <item> stripcslashes </item>
+ <item> stripslashes </item>
+ <item> stristr </item>
+ <item> strlen </item>
+ <item> strnatcasecmp </item>
+ <item> strnatcmp </item>
+ <item> strncasecmp </item>
+ <item> strncmp </item>
+ <item> strpos </item>
+ <item> strrchr </item>
+ <item> strrev </item>
+ <item> strrpos </item>
+ <item> strspn </item>
+ <item> strstr </item>
+ <item> strtok </item>
+ <item> strtolower </item>
+ <item> strtotime </item>
+ <item> strtoupper </item>
+ <item> strtr </item>
+ <item> strval </item>
+ <item> substr </item>
+ <item> substr_count </item>
+ <item> substr_replace </item>
+ <item> swf_actiongeturl </item>
+ <item> swf_actiongotoframe </item>
+ <item> swf_actiongotolabel </item>
+ <item> swf_actionnextframe </item>
+ <item> swf_actionplay </item>
+ <item> swf_actionprevframe </item>
+ <item> swf_actionsettarget </item>
+ <item> swf_actionstop </item>
+ <item> swf_actiontogglequality </item>
+ <item> swf_actionwaitforframe </item>
+ <item> swf_addbuttonrecord </item>
+ <item> swf_addcolor </item>
+ <item> swf_closefile </item>
+ <item> swf_definebitmap </item>
+ <item> swf_definefont </item>
+ <item> swf_defineline </item>
+ <item> swf_definepoly </item>
+ <item> swf_definerect </item>
+ <item> swf_definetext </item>
+ <item> swf_endbutton </item>
+ <item> swf_enddoaction </item>
+ <item> swf_endshape </item>
+ <item> swf_endsymbol </item>
+ <item> swf_fontsize </item>
+ <item> swf_fontslant </item>
+ <item> swf_fonttracking </item>
+ <item> swf_getbitmapinfo </item>
+ <item> swf_getfontinfo </item>
+ <item> swf_getframe </item>
+ <item> swf_labelframe </item>
+ <item> swf_lookat </item>
+ <item> swf_modifyobject </item>
+ <item> swf_mulcolor </item>
+ <item> swf_nextid </item>
+ <item> swf_oncondition </item>
+ <item> swf_openfile </item>
+ <item> swf_ortho </item>
+ <item> swf_ortho2 </item>
+ <item> swf_perspective </item>
+ <item> swf_placeobject </item>
+ <item> swf_polarview </item>
+ <item> swf_popmatrix </item>
+ <item> swf_posround </item>
+ <item> swf_pushmatrix </item>
+ <item> swf_removeobject </item>
+ <item> swf_rotate </item>
+ <item> swf_scale </item>
+ <item> swf_setfont </item>
+ <item> swf_setframe </item>
+ <item> swf_shapearc </item>
+ <item> swf_shapecurveto </item>
+ <item> swf_shapecurveto3 </item>
+ <item> swf_shapefillbitmapclip </item>
+ <item> swf_shapefillbitmaptile </item>
+ <item> swf_shapefilloff </item>
+ <item> swf_shapefillsolid </item>
+ <item> swf_shapelinesolid </item>
+ <item> swf_shapelineto </item>
+ <item> swf_shapemoveto </item>
+ <item> swf_showframe </item>
+ <item> swf_startbutton </item>
+ <item> swf_startdoaction </item>
+ <item> swf_startshape </item>
+ <item> swf_startsymbol </item>
+ <item> swf_textwidth </item>
+ <item> swf_translate </item>
+ <item> swf_viewport </item>
+ <item> swfaction </item>
+ <item> swfbitmap </item>
+ <item> swfbitmap.getheight </item>
+ <item> swfbitmap.getwidth </item>
+ <item> swfbutton </item>
+ <item> swfbutton.addaction </item>
+ <item> swfbutton.addshape </item>
+ <item> swfbutton.setaction </item>
+ <item> swfbutton.setdown </item>
+ <item> swfbutton.sethit </item>
+ <item> swfbutton.setover </item>
+ <item> swfbutton.setup </item>
+ <item> swfbutton_keypress </item>
+ <item> swfdisplayitem </item>
+ <item> swfdisplayitem.addcolor </item>
+ <item> swfdisplayitem.move </item>
+ <item> swfdisplayitem.moveto </item>
+ <item> swfdisplayitem.multcolor </item>
+ <item> swfdisplayitem.remove </item>
+ <item> swfdisplayitem.rotate </item>
+ <item> swfdisplayitem.rotateto </item>
+ <item> swfdisplayitem.scale </item>
+ <item> swfdisplayitem.scaleto </item>
+ <item> swfdisplayitem.setdepth </item>
+ <item> swfdisplayitem.setname </item>
+ <item> swfdisplayitem.setratio </item>
+ <item> swfdisplayitem.skewx </item>
+ <item> swfdisplayitem.skewxto </item>
+ <item> swfdisplayitem.skewy </item>
+ <item> swfdisplayitem.skewyto </item>
+ <item> swffill </item>
+ <item> swffill.moveto </item>
+ <item> swffill.rotateto </item>
+ <item> swffill.scaleto </item>
+ <item> swffill.skewxto </item>
+ <item> swffill.skewyto </item>
+ <item> swffont </item>
+ <item> swffont.getwidth </item>
+ <item> swfgradient </item>
+ <item> swfgradient.addentry </item>
+ <item> swfmorph </item>
+ <item> swfmorph.getshape1 </item>
+ <item> swfmorph.getshape2 </item>
+ <item> swfmovie </item>
+ <item> swfmovie.add </item>
+ <item> swfmovie.nextframe </item>
+ <item> swfmovie.output </item>
+ <item> swfmovie.remove </item>
+ <item> swfmovie.save </item>
+ <item> swfmovie.setbackground </item>
+ <item> swfmovie.setdimension </item>
+ <item> swfmovie.setframes </item>
+ <item> swfmovie.setrate </item>
+ <item> swfmovie.streammp3 </item>
+ <item> swfshape </item>
+ <item> swfshape.addfill </item>
+ <item> swfshape.drawcurve </item>
+ <item> swfshape.drawcurveto </item>
+ <item> swfshape.drawline </item>
+ <item> swfshape.drawlineto </item>
+ <item> swfshape.movepen </item>
+ <item> swfshape.movepento </item>
+ <item> swfshape.setleftfill </item>
+ <item> swfshape.setline </item>
+ <item> swfshape.setrightfill </item>
+ <item> swfsprite </item>
+ <item> swfsprite.add </item>
+ <item> swfsprite.nextframe </item>
+ <item> swfsprite.remove </item>
+ <item> swfsprite.setframes </item>
+ <item> swftext </item>
+ <item> swftext.addstring </item>
+ <item> swftext.getwidth </item>
+ <item> swftext.moveto </item>
+ <item> swftext.setcolor </item>
+ <item> swftext.setfont </item>
+ <item> swftext.setheight </item>
+ <item> swftext.setspacing </item>
+ <item> swftextfield </item>
+ <item> swftextfield.addstring </item>
+ <item> swftextfield.align </item>
+ <item> swftextfield.setbounds </item>
+ <item> swftextfield.setcolor </item>
+ <item> swftextfield.setfont </item>
+ <item> swftextfield.setheight </item>
+ <item> swftextfield.setindentation </item>
+ <item> swftextfield.setleftmargin </item>
+ <item> swftextfield.setlinespacing </item>
+ <item> swftextfield.setmargins </item>
+ <item> swftextfield.setname </item>
+ <item> swftextfield.setrightmargin </item>
+ <item> sybase_affected_rows </item>
+ <item> sybase_close </item>
+ <item> sybase_connect </item>
+ <item> sybase_data_seek </item>
+ <item> sybase_fetch_array </item>
+ <item> sybase_fetch_field </item>
+ <item> sybase_fetch_object </item>
+ <item> sybase_fetch_row </item>
+ <item> sybase_field_seek </item>
+ <item> sybase_free_result </item>
+ <item> sybase_get_last_message </item>
+ <item> sybase_min_client_severity </item>
+ <item> sybase_min_error_severity </item>
+ <item> sybase_min_message_severity </item>
+ <item> sybase_min_server_severity </item>
+ <item> sybase_num_fields </item>
+ <item> sybase_num_rows </item>
+ <item> sybase_pconnect </item>
+ <item> sybase_query </item>
+ <item> sybase_result </item>
+ <item> sybase_select_db </item>
+ <item> symlink </item>
+ <item> syslog </item>
+ <item> system </item>
+ <item> tan </item>
+ <item> tanh </item>
+ <item> tempnam </item>
+ <item> textdomain </item>
+ <item> time </item>
+ <item> tmpfile </item>
+ <item> touch </item>
+ <item> trigger_error </item>
+ <item> trim </item>
+ <item> uasort </item>
+ <item> ucfirst </item>
+ <item> ucwords </item>
+ <item> udm_add_search_limit </item>
+ <item> udm_alloc_agent </item>
+ <item> udm_api_version </item>
+ <item> udm_cat_list </item>
+ <item> udm_cat_path </item>
+ <item> udm_check_charset </item>
+ <item> udm_check_stored </item>
+ <item> udm_clear_search_limits </item>
+ <item> udm_close_stored </item>
+ <item> udm_crc32 </item>
+ <item> udm_errno </item>
+ <item> udm_error </item>
+ <item> udm_find </item>
+ <item> udm_free_agent </item>
+ <item> udm_free_ispell_data </item>
+ <item> udm_free_res </item>
+ <item> udm_get_doc_count </item>
+ <item> udm_get_res_field </item>
+ <item> udm_get_res_param </item>
+ <item> udm_load_ispell_data </item>
+ <item> udm_open_stored </item>
+ <item> udm_set_agent_param </item>
+ <item> uksort </item>
+ <item> umask </item>
+ <item> uniqid </item>
+ <item> unixtojd </item>
+ <item> unlink </item>
+ <item> unpack </item>
+ <item> unregister_tick_function </item>
+ <item> unserialize </item>
+ <item> unset </item>
+ <item> urldecode </item>
+ <item> urlencode </item>
+ <item> user_error </item>
+ <item> usleep </item>
+ <item> usort </item>
+ <item> utf8_decode </item>
+ <item> utf8_encode </item>
+ <item> var_dump </item>
+ <item> var_export </item>
+ <item> variant </item>
+ <item> version_compare </item>
+ <item> virtual </item>
+ <item> vpopmail_add_alias_domain </item>
+ <item> vpopmail_add_alias_domain_ex </item>
+ <item> vpopmail_add_domain </item>
+ <item> vpopmail_add_domain_ex </item>
+ <item> vpopmail_add_user </item>
+ <item> vpopmail_alias_add </item>
+ <item> vpopmail_alias_del </item>
+ <item> vpopmail_alias_del_domain </item>
+ <item> vpopmail_alias_get </item>
+ <item> vpopmail_alias_get_all </item>
+ <item> vpopmail_auth_user </item>
+ <item> vpopmail_del_domain </item>
+ <item> vpopmail_del_domain_ex </item>
+ <item> vpopmail_del_user </item>
+ <item> vpopmail_error </item>
+ <item> vpopmail_passwd </item>
+ <item> vpopmail_set_user_quota </item>
+ <item> vprintf </item>
+ <item> vsprintf </item>
+ <item> w32api_deftype </item>
+ <item> w32api_init_dtype </item>
+ <item> w32api_invoke_function </item>
+ <item> w32api_register_function </item>
+ <item> w32api_set_call_method </item>
+ <item> wddx_add_vars </item>
+ <item> wddx_deserialize </item>
+ <item> wddx_packet_end </item>
+ <item> wddx_packet_start </item>
+ <item> wddx_serialize_value </item>
+ <item> wddx_serialize_vars </item>
+ <item> wordwrap </item>
+ <item> xml_error_string </item>
+ <item> xml_get_current_byte_index </item>
+ <item> xml_get_current_column_number </item>
+ <item> xml_get_current_line_number </item>
+ <item> xml_get_error_code </item>
+ <item> xml_parse </item>
+ <item> xml_parse_into_struct </item>
+ <item> xml_parser_create </item>
+ <item> xml_parser_create_ns </item>
+ <item> xml_parser_free </item>
+ <item> xml_parser_get_option </item>
+ <item> xml_parser_set_option </item>
+ <item> xml_set_character_data_handler </item>
+ <item> xml_set_default_handler </item>
+ <item> xml_set_element_handler </item>
+ <item> xml_set_end_namespace_decl_handler </item>
+ <item> xml_set_external_entity_ref_handler </item>
+ <item> xml_set_notation_decl_handler </item>
+ <item> xml_set_object </item>
+ <item> xml_set_processing_instruction_handler </item>
+ <item> xml_set_start_namespace_decl_handler </item>
+ <item> xml_set_unparsed_entity_decl_handler </item>
+ <item> xmldoc </item>
+ <item> xmldocfile </item>
+ <item> xmlrpc_decode </item>
+ <item> xmlrpc_decode_request </item>
+ <item> xmlrpc_encode </item>
+ <item> xmlrpc_encode_request </item>
+ <item> xmlrpc_get_type </item>
+ <item> xmlrpc_parse_method_descriptions </item>
+ <item> xmlrpc_server_add_introspection_data </item>
+ <item> xmlrpc_server_call_method </item>
+ <item> xmlrpc_server_create </item>
+ <item> xmlrpc_server_destroy </item>
+ <item> xmlrpc_server_register_introspection_callback </item>
+ <item> xmlrpc_server_register_method </item>
+ <item> xmlrpc_set_type </item>
+ <item> xmltree </item>
+ <item> xpath_eval </item>
+ <item> xpath_eval_expression </item>
+ <item> xpath_new_context </item>
+ <item> xptr_eval </item>
+ <item> xptr_new_context </item>
+ <item> xslt_create </item>
+ <item> xslt_errno </item>
+ <item> xslt_error </item>
+ <item> xslt_free </item>
+ <item> xslt_process </item>
+ <item> xslt_set_base </item>
+ <item> xslt_set_encoding </item>
+ <item> xslt_set_error_handler </item>
+ <item> xslt_set_log </item>
+ <item> xslt_set_sax_handler </item>
+ <item> xslt_set_sax_handlers </item>
+ <item> xslt_set_scheme_handler </item>
+ <item> xslt_set_scheme_handlers </item>
+ <item> yaz_addinfo </item>
+ <item> yaz_ccl_conf </item>
+ <item> yaz_ccl_parse </item>
+ <item> yaz_close </item>
+ <item> yaz_connect </item>
+ <item> yaz_database </item>
+ <item> yaz_element </item>
+ <item> yaz_errno </item>
+ <item> yaz_error </item>
+ <item> yaz_hits </item>
+ <item> yaz_itemorder </item>
+ <item> yaz_present </item>
+ <item> yaz_range </item>
+ <item> yaz_record </item>
+ <item> yaz_scan </item>
+ <item> yaz_scan_result </item>
+ <item> yaz_search </item>
+ <item> yaz_sort </item>
+ <item> yaz_syntax </item>
+ <item> yaz_wait </item>
+ <item> yp_all </item>
+ <item> yp_cat </item>
+ <item> yp_err_string </item>
+ <item> yp_errno </item>
+ <item> yp_first </item>
+ <item> yp_get_default_domain </item>
+ <item> yp_master </item>
+ <item> yp_match </item>
+ <item> yp_next </item>
+ <item> yp_order </item>
+ <item> zend_logo_guid </item>
+ <item> zend_version </item>
+ <item> zip_close </item>
+ <item> zip_entry_close </item>
+ <item> zip_entry_compressedsize </item>
+ <item> zip_entry_compressionmethod </item>
+ <item> zip_entry_filesize </item>
+ <item> zip_entry_name </item>
+ <item> zip_entry_open </item>
+ <item> zip_entry_read </item>
+ <item> zip_open </item>
+ <item> zip_read </item>
+ </list>
+ <contexts>
+ <context name="nosource" attribute="Normal Text" lineEndContext="#stay">
+ <StringDetect attribute="Keyword" context="phpsource" String="&lt;?php" />
+ <StringDetect attribute="Keyword" context="phpsource" String="&lt;?" />
+ </context>
+ <context name="phpsource" attribute="Normal Text" lineEndContext="#stay">
+ <StringDetect attribute="Keyword" context="#pop" String="?&gt;" />
+ <Detect2Chars attribute="Comment" context="onelinecomment" char="/" char1="/" />
+ <Detect2Chars attribute="Comment" context="twolinecomment" char="/" char1="&#42;" />
+ <keyword attribute="Control Structures" context="#stay" String="control structures" />
+ <keyword attribute="Keyword" context="#stay" String="keywords" />
+ <keyword attribute="Function" context="#stay" String="functions" />
+ <DetectChar attribute="String" context="doblequotestring" char="&quot;" />
+ <DetectChar attribute="String" context="singlequotestring" char="&#39;" />
+ <RegExpr attribute="String" context="" String="" />
+ <RegExpr attribute="Variable" context="#stay" String="\$[a-zA-Z_\x7f-\xff][a-zA-Z0-9_\x7f-\xff]*" />
+ <HlCOct attribute="Octal" context="#stay" />
+ <HlCHex attribute="Hex" context="#stay" />
+ <Float attribute="Float" context="#stay" />
+ <Int attribute="Decimal" context="#stay" />
+ <AnyChar attribute="Operator" context="#stay" String="=+-*/.%&amp;|!^&gt;&lt;" />
+ <keyword attribute="Operator" context="#stay" String="operators" />
+ <AnyChar attribute="Other" context="#stay" String=";()}{:,[]" />
+ <keyword attribute="Other" context="#stay" String="Others" />
+ </context>
+ <context name="onelinecomment" attribute="Comment" lineEndContext="#pop" />
+ <context name="twolinecomment" attribute="Comment" lineEndContext="#stay">
+ <Detect2Chars attribute="Comment" context="#pop" char="*" char1="/" />
+ </context>
+ <context name="doblequotestring" attribute="String" lineEndContext="#stay">
+ <Detect2Chars attribute="Backslash Code" context="#stay" char="\" char1="&quot;" />
+ <Detect2Chars attribute="Backslash Code" context="#stay" char="\" char1="\" />
+ <Detect2Chars attribute="Backslash Code" context="#stay" char="\" char1="n" />
+ <Detect2Chars attribute="Backslash Code" context="#stay" char="\" char1="r" />
+ <Detect2Chars attribute="Backslash Code" context="#stay" char="\" char1="t" />
+ <Detect2Chars attribute="Backslash Code" context="#stay" char="\" char1="$" />
+ <RegExpr attribute="Backslash Code" context="#stay" String="\\[0-7]{1,3}" />
+ <RegExpr attribute="Backslash Code" context="#stay" String="\\x[0-9A-Fa-f]{1,2}" />
+ <RegExpr attribute="Variable" context="#stay" String="\$[a-zA-Z_\x7f-\xff][a-zA-Z0-9_\x7f-\xff]*(\[[a-zA-Z0-9_]*\])*" />
+ <RegExpr attribute="Variable" context="#stay" String="\$\{[a-zA-Z_\x7f-\xff][a-zA-Z0-9_\x7f-\xff]*(\[[a-zA-Z0-9_]*\])*\}" />
+ <RegExpr attribute="Variable" context="#stay" String="\{\$[a-zA-Z_\x7f-\xff][a-zA-Z0-9_\x7f-\xff]*(\[([0-9]*|&quot;[a-zA-Z_]*&quot;)|'[a-zA-Z_]*'|\])*(->[a-zA-Z_\x7f-\xff][a-zA-Z0-9_\x7f-\xff]*(\[[a-zA-Z0-9_]*\])*(\[([0-9]*|&quot;[a-zA-Z_]*&quot;)|'[a-zA-Z_]*'|\])*)*\}" />
+ <DetectChar attribute="String" context="#pop" char="&quot;" />
+ </context>
+ <context name="singlequotestring" attribute="String" lineEndContext="#stay">
+ <Detect2Chars attribute="Backslash Code" context="#stay" char="\" char1="'" />
+ <Detect2Chars attribute="Backslash Code" context="#stay" char="\" char1="\" />
+ <DetectChar attribute="String" context="#pop" char="'" />
+ </context>
+ </contexts>
+ <itemDatas>
+ <itemData name="Normal Text" defStyleNum="dsNormal" />
+ <itemData name="Keyword" defStyleNum="dsKeyword" />
+ <itemData name="Function" defStyleNum="dsKeyword" color="#0000FF" selColor="#00ffff" bold="0" italic="0"/>
+ <itemData name="Operator" defStyleNum="dsKeyword" color="#00F000" selColor="#ffffff" bold="1" italic="0"/>
+ <itemData name="Decimal" defStyleNum="dsDecVal" />
+ <itemData name="Octal" defStyleNum="dsBaseN" />
+ <itemData name="Hex" defStyleNum="dsBaseN" />
+ <itemData name="Float" defStyleNum="dsFloat" />
+ <itemData name="String" defStyleNum="dsString" />
+ <itemData name="Comment" defStyleNum="dsComment" />
+ <itemData name="Variable" defStyleNum="dsKeyword" color="#5555FF" selColor="#ffffff" bold="0" italic="0" />
+ <itemData name="Control Structures" defStyleNum="dsKeyword" color="#A1A100" selColor="#ffffff" bold="0" italic="0" />
+ <itemData name="Backslash Code" defStyleNum="dsKeyword" color="#0F0F8F" selColor="#ffffff" bold="1" italic="0" />
+ <itemData name="Other" defStyleNum="dsOthers" />
+ </itemDatas>
+ </highlighting>
+ <general>
+ <comments>
+ <comment name="singleLine" start="//" />
+ <comment name="multiLine" start="/*" end="*/" />
+ </comments>
+ <keywords casesensitive="0" weakDeliminator=""/>
+ </general>
+</language>
diff --git a/share/tinykate/syntax/postscript.xml b/share/tinykate/syntax/postscript.xml
new file mode 100644
index 0000000..c02db6d
--- a/dev/null
+++ b/share/tinykate/syntax/postscript.xml
@@ -0,0 +1,440 @@
+<?xml version="1.0" encoding="UTF-8"?>
+<!DOCTYPE language SYSTEM "language.dtd">
+<language name="PostScript" version="1.00" kateversion="2.0" section="Markup" extensions="*.ps;*.ai;*.eps" mimetype="application/postscript">
+<highlighting>
+ <list name="keywords">
+ <item> abs </item>
+ <item> add </item>
+ <item> aload </item>
+ <item> anchorsearch </item>
+ <item> and </item>
+ <item> arc </item>
+ <item> arcn </item>
+ <item> arct </item>
+ <item> arcto </item>
+ <item> array </item>
+ <item> ashow </item>
+ <item> astore </item>
+ <item> awidthshow </item>
+ <item> begin </item>
+ <item> bind </item>
+ <item> bitshift </item>
+ <item> ceiling </item>
+ <item> charpath </item>
+ <item> clear </item>
+ <item> cleartomark </item>
+ <item> clip </item>
+ <item> clippath </item>
+ <item> closepath </item>
+ <item> concat </item>
+ <item> concatmatrix </item>
+ <item> copy </item>
+ <item> count </item>
+ <item> counttomark </item>
+ <item> currentcmykcolor </item>
+ <item> currentdash </item>
+ <item> currentdict </item>
+ <item> currentfile </item>
+ <item> currentfont </item>
+ <item> currentgray </item>
+ <item> currentgstate </item>
+ <item> currenthsbcolor </item>
+ <item> currentlinecap </item>
+ <item> currentlinejoin </item>
+ <item> currentlinewidth </item>
+ <item> currentmatrix </item>
+ <item> currentpoint </item>
+ <item> currentrgbcolor </item>
+ <item> currentshared </item>
+ <item> curveto </item>
+ <item> cvi </item>
+ <item> cvlit </item>
+ <item> cvn </item>
+ <item> cvr </item>
+ <item> cvrs </item>
+ <item> cvs </item>
+ <item> cvx </item>
+ <item> def </item>
+ <item> defineusername </item>
+ <item> dict </item>
+ <item> div </item>
+ <item> dtransform </item>
+ <item> dup </item>
+ <item> end </item>
+ <item> eoclip </item>
+ <item> eofill </item>
+ <item> eoviewclip </item>
+ <item> eq </item>
+ <item> exch </item>
+ <item> exec </item>
+ <item> exit </item>
+ <item> file </item>
+ <item> fill </item>
+ <item> findfont </item>
+ <item> flattenpath </item>
+ <item> floor </item>
+ <item> flush </item>
+ <item> flushfile </item>
+ <item> for </item>
+ <item> forall </item>
+ <item> ge </item>
+ <item> get </item>
+ <item> getinterval </item>
+ <item> grestore </item>
+ <item> gsave </item>
+ <item> gstate </item>
+ <item> gt </item>
+ <item> identmatrix </item>
+ <item> idiv </item>
+ <item> idtransform </item>
+ <item> if </item>
+ <item> ifelse </item>
+ <item> image </item>
+ <item> imagemask </item>
+ <item> index </item>
+ <item> ineofill </item>
+ <item> infill </item>
+ <item> initviewclip </item>
+ <item> inueofill </item>
+ <item> inufill </item>
+ <item> invertmatrix </item>
+ <item> itransform </item>
+ <item> known </item>
+ <item> le </item>
+ <item> length </item>
+ <item> lineto </item>
+ <item> load </item>
+ <item> loop </item>
+ <item> lt </item>
+ <item> makefont </item>
+ <item> matrix </item>
+ <item> maxlength </item>
+ <item> mod </item>
+ <item> moveto </item>
+ <item> mul </item>
+ <item> ne </item>
+ <item> neg </item>
+ <item> newpath </item>
+ <item> not </item>
+ <item> null </item>
+ <item> or </item>
+ <item> pathbbox </item>
+ <item> pathforall </item>
+ <item> pop </item>
+ <item> print </item>
+ <item> printobject </item>
+ <item> put </item>
+ <item> putinterval </item>
+ <item> rcurveto </item>
+ <item> read </item>
+ <item> readhexstring </item>
+ <item> readline </item>
+ <item> readstring </item>
+ <item> rectclip </item>
+ <item> rectfill </item>
+ <item> rectstroke </item>
+ <item> rectviewclip </item>
+ <item> repeat </item>
+ <item> restore </item>
+ <item> rlineto </item>
+ <item> rmoveto </item>
+ <item> roll </item>
+ <item> rotate </item>
+ <item> round </item>
+ <item> save </item>
+ <item> scale </item>
+ <item> scalefont </item>
+ <item> search </item>
+ <item> selectfont </item>
+ <item> setbbox </item>
+ <item> setcachedevice </item>
+ <item> setcachedevice2 </item>
+ <item> setcharwidth </item>
+ <item> setcmykcolor </item>
+ <item> setdash </item>
+ <item> setfont </item>
+ <item> setgray </item>
+ <item> setgstate </item>
+ <item> sethsbcolor </item>
+ <item> setlinecap </item>
+ <item> setlinejoin </item>
+ <item> setlinewidth </item>
+ <item> setmatrix </item>
+ <item> setrgbcolor </item>
+ <item> setshared </item>
+ <item> shareddict </item>
+ <item> show </item>
+ <item> showpage </item>
+ <item> stop </item>
+ <item> stopped </item>
+ <item> store </item>
+ <item> string </item>
+ <item> stringwidth </item>
+ <item> stroke </item>
+ <item> strokepath </item>
+ <item> sub </item>
+ <item> systemdict </item>
+ <item> token </item>
+ <item> transform </item>
+ <item> translate </item>
+ <item> truncate </item>
+ <item> type </item>
+ <item> uappend </item>
+ <item> ucache </item>
+ <item> ueofill </item>
+ <item> ufill </item>
+ <item> undef </item>
+ <item> upath </item>
+ <item> userdict </item>
+ <item> ustroke </item>
+ <item> viewclip </item>
+ <item> viewclippath </item>
+ <item> where </item>
+ <item> widthshow </item>
+ <item> write </item>
+ <item> writehexstring </item>
+ <item> writeobject </item>
+ <item> writestring </item>
+ <item> wtranslation </item>
+ <item> xor </item>
+ <item> xshow </item>
+ <item> xyshow </item>
+ <item> yshow </item>
+ <item> FontDirectory </item>
+ <item> SharedFontDirectory </item>
+ <item> Courier </item>
+ <item> Courier-Bold </item>
+ <item> Courier-BoldOblique </item>
+ <item> Courier-Oblique </item>
+ <item> Helvetica </item>
+ <item> Helvetica-Bold </item>
+ <item> Helvetica-BoldOblique </item>
+ <item> Helvetica-Oblique </item>
+ <item> Symbol </item>
+ <item> Times-Bold </item>
+ <item> Times-BoldItalic </item>
+ <item> Times-Italic </item>
+ <item> Times-Roman </item>
+ <item> execuserobject </item>
+ <item> currentcolor </item>
+ <item> currentcolorspace </item>
+ <item> currentglobal </item>
+ <item> execform </item>
+ <item> filter </item>
+ <item> findresource </item>
+ <item> globaldict </item>
+ <item> makepattern </item>
+ <item> setcolor </item>
+ <item> setcolorspace </item>
+ <item> setglobal </item>
+ <item> setpagedevice </item>
+ <item> setpattern </item>
+ <item> = </item>
+ <item> == </item>
+ <item> ISOLatin1Encoding </item>
+ <item> StandardEncoding </item>
+ <item> [ </item>
+ <item> ] </item>
+ <item> atan </item>
+ <item> banddevice </item>
+ <item> bytesavailable </item>
+ <item> cachestatus </item>
+ <item> closefile </item>
+ <item> colorimage </item>
+ <item> condition </item>
+ <item> copypage </item>
+ <item> cos </item>
+ <item> countdictstack </item>
+ <item> countexecstack </item>
+ <item> cshow </item>
+ <item> currentblackgeneration </item>
+ <item> currentcacheparams </item>
+ <item> currentcolorscreen </item>
+ <item> currentcolortransfer </item>
+ <item> currentcontext </item>
+ <item> currentflat </item>
+ <item> currenthalftone </item>
+ <item> currenthalftonephase </item>
+ <item> currentmiterlimit </item>
+ <item> currentobjectformat </item>
+ <item> currentpacking </item>
+ <item> currentscreen </item>
+ <item> currentstrokeadjust </item>
+ <item> currenttransfer </item>
+ <item> currentundercolorremoval </item>
+ <item> defaultmatrix </item>
+ <item> definefont </item>
+ <item> deletefile </item>
+ <item> detach </item>
+ <item> deviceinfo </item>
+ <item> dictstack </item>
+ <item> echo </item>
+ <item> erasepage </item>
+ <item> errordict </item>
+ <item> execstack </item>
+ <item> executeonly </item>
+ <item> exp </item>
+ <item> false </item>
+ <item> filenameforall </item>
+ <item> fileposition </item>
+ <item> fork </item>
+ <item> framedevice </item>
+ <item> grestoreall </item>
+ <item> handleerror </item>
+ <item> initclip </item>
+ <item> initgraphics </item>
+ <item> initmatrix </item>
+ <item> instroke </item>
+ <item> inustroke </item>
+ <item> join </item>
+ <item> kshow </item>
+ <item> ln </item>
+ <item> lock </item>
+ <item> log </item>
+ <item> mark </item>
+ <item> monitor </item>
+ <item> noaccess </item>
+ <item> notify </item>
+ <item> nulldevice </item>
+ <item> packedarray </item>
+ <item> quit </item>
+ <item> rand </item>
+ <item> rcheck </item>
+ <item> readonly </item>
+ <item> realtime </item>
+ <item> renamefile </item>
+ <item> renderbands </item>
+ <item> resetfile </item>
+ <item> reversepath </item>
+ <item> rootfont </item>
+ <item> rrand </item>
+ <item> run </item>
+ <item> scheck </item>
+ <item> setblackgeneration </item>
+ <item> setcachelimit </item>
+ <item> setcacheparams </item>
+ <item> setcolorscreen </item>
+ <item> setcolortransfer </item>
+ <item> setfileposition </item>
+ <item> setflat </item>
+ <item> sethalftone </item>
+ <item> sethalftonephase </item>
+ <item> setmiterlimit </item>
+ <item> setobjectformat </item>
+ <item> setpacking </item>
+ <item> setscreen </item>
+ <item> setstrokeadjust </item>
+ <item> settransfer </item>
+ <item> setucacheparams </item>
+ <item> setundercolorremoval </item>
+ <item> sin </item>
+ <item> sqrt </item>
+ <item> srand </item>
+ <item> stack </item>
+ <item> status </item>
+ <item> statusdict </item>
+ <item> true </item>
+ <item> ucachestatus </item>
+ <item> undefinefont </item>
+ <item> usertime </item>
+ <item> ustrokepath </item>
+ <item> version </item>
+ <item> vmreclaim </item>
+ <item> vmstatus </item>
+ <item> wait </item>
+ <item> wcheck </item>
+ <item> xcheck </item>
+ <item> yield </item>
+ <item> defineuserobject </item>
+ <item> undefineuserobject </item>
+ <item> UserObjects </item>
+ <item> cleardictstack </item>
+ <item> setvmthreshold </item>
+ <item> &lt;&lt; </item>
+ <item> &gt;&gt; </item>
+ <item> currentcolorrendering </item>
+ <item> currentdevparams </item>
+ <item> currentoverprint </item>
+ <item> currentpagedevice </item>
+ <item> currentsystemparams </item>
+ <item> currentuserparams </item>
+ <item> defineresource </item>
+ <item> findencoding </item>
+ <item> gcheck </item>
+ <item> glyphshow </item>
+ <item> languagelevel </item>
+ <item> product </item>
+ <item> pstack </item>
+ <item> resourceforall </item>
+ <item> resourcestatus </item>
+ <item> revision </item>
+ <item> serialnumber </item>
+ <item> setcolorrendering </item>
+ <item> setdevparams </item>
+ <item> setoverprint </item>
+ <item> setsystemparams </item>
+ <item> setuserparams </item>
+ <item> startjob </item>
+ <item> undefineresource </item>
+ <item> GlobalFontDirectory </item>
+ <item> ASCII85Decode </item>
+ <item> ASCII85Encode </item>
+ <item> ASCIIHexDecode </item>
+ <item> ASCIIHexEncode </item>
+ <item> CCITTFaxDecode </item>
+ <item> CCITTFaxEncode </item>
+ <item> DCTDecode </item>
+ <item> DCTEncode </item>
+ <item> LZWDecode </item>
+ <item> LZWEncode </item>
+ <item> NullEncode </item>
+ <item> RunLengthDecode </item>
+ <item> RunLengthEncode </item>
+ <item> SubFileDecode </item>
+ <item> CIEBasedA </item>
+ <item> CIEBasedABC </item>
+ <item> DeviceCMYK </item>
+ <item> DeviceGray </item>
+ <item> DeviceRGB </item>
+ <item> Indexed </item>
+ <item> Pattern </item>
+ <item> Separation </item>
+ <item> CIEBasedDEF </item>
+ <item> CIEBasedDEFG </item>
+ <item> DeviceN </item>
+ </list>
+
+ <contexts>
+ <context name="Normal" attribute="0" lineEndContext="#stay">
+ <keyword attribute="1" context="#stay" String="keywords"/>
+ <Detect2Chars attribute="3" context="2" char="%" char1="!"/>
+ <DetectChar attribute="2" context="1" char="%"/>
+ <Float attribute="4" context="#stay"/>
+ <Int attribute="5" context="#stay"/>
+ <DetectChar attribute="6" context="3" char="("/>
+ <RegExpr attribute="7" context="#stay" String="\/{1,2}[^\s\(\)\{\}\[\]%/]*"/>
+ </context>
+ <context attribute="2" lineEndContext="#pop" name="Comment"/>
+ <context attribute="3" lineEndContext="#pop" name="Header"/>
+ <context attribute="6" lineEndContext="#stay" name="String">
+ <DetectChar attribute="6" context="#pop" char=")" />
+ </context>
+ </contexts>
+ <itemDatas>
+ <itemData name="Normal Text" defStyleNum="dsNormal"/>
+ <itemData name="Keyword" defStyleNum="dsKeyword"/>
+ <itemData name="Comment" defStyleNum="dsComment"/>
+ <itemData name="Header" defStyleNum="dsOthers"/>
+ <itemData name="Float" defStyleNum="dsFloat"/>
+ <itemData name="Decimal" defStyleNum="dsDecVal"/>
+ <itemData name="String" defStyleNum="dsString"/>
+ <itemData name="Data Type" defStyleNum="dsDataType"/>
+ </itemDatas>
+</highlighting>
+<general>
+ <comments>
+ <comment name="singleLine" start="%" />
+ </comments>
+ <keywords casesensitive="0" />
+</general>
+</language>
diff --git a/share/tinykate/syntax/python.xml b/share/tinykate/syntax/python.xml
new file mode 100644
index 0000000..e7722e9
--- a/dev/null
+++ b/share/tinykate/syntax/python.xml
@@ -0,0 +1,213 @@
+<?xml version="1.02" encoding="UTF-8"?>
+<!DOCTYPE language>
+<!-- Python syntax highlightning v0.9 by Per Wigren -->
+<language name="Python" version="1.00" kateversion="2.0" section="Scripts" extensions="*.py;*.pyw" mimetype="text/x-python" casesensitive="1">
+ <highlighting>
+ <list name="prep">
+ <item> import </item>
+ <item> from </item>
+ <item> as </item>
+ </list>
+
+ <list name="statements">
+ <item> assert </item>
+ <item> break </item>
+ <item> class </item>
+ <item> continue </item>
+ <item> def </item>
+ <item> del </item>
+ <item> elif </item>
+ <item> else </item>
+ <item> except </item>
+ <item> exec </item>
+ <item> finally </item>
+ <item> for </item>
+ <item> global </item>
+ <item> if </item>
+ <item> in </item>
+ <item> is </item>
+ <item> lambda </item>
+ <item> not </item>
+ <item> or </item>
+ <item> pass </item>
+ <item> print </item>
+ <item> raise </item>
+ <item> return </item>
+ <item> try </item>
+ <item> while </item>
+ <item> yield </item>
+ </list>
+
+ <list name="builtinfuncs">
+ <item> abs </item>
+ <item> apply </item>
+ <item> buffer </item>
+ <item> callable </item>
+ <item> chr </item>
+ <item> cmp </item>
+ <item> coerce </item>
+ <item> compile </item>
+ <item> complex </item>
+ <item> copyright </item>
+ <item> credits </item>
+ <item> delattr </item>
+ <item> dir </item>
+ <item> divmod </item>
+ <item> eval </item>
+ <item> execfile </item>
+ <item> exit </item>
+ <item> filter </item>
+ <item> float </item>
+ <item> getattr </item>
+ <item> globals </item>
+ <item> hasattr </item>
+ <item> hash </item>
+ <item> hex </item>
+ <item> id </item>
+ <item> input </item>
+ <item> int </item>
+ <item> intern </item>
+ <item> isinstance </item>
+ <item> issubclass </item>
+ <item> iter </item>
+ <item> len </item>
+ <item> license </item>
+ <item> list </item>
+ <item> locals </item>
+ <item> long </item>
+ <item> map </item>
+ <item> max </item>
+ <item> min </item>
+ <item> oct </item>
+ <item> open </item>
+ <item> ord </item>
+ <item> pow </item>
+ <item> quit </item>
+ <item> range </item>
+ <item> raw_input </item>
+ <item> reduce </item>
+ <item> reload </item>
+ <item> repr </item>
+ <item> round </item>
+ <item> setattr </item>
+ <item> slice </item>
+ <item> str </item>
+ <item> tuple </item>
+ <item> type </item>
+ <item> unichr </item>
+ <item> unicode </item>
+ <item> vars </item>
+ <item> xrange </item>
+ <item> zip </item>
+ </list>
+
+ <list name="specialvars">
+ <item> None </item>
+ <item> self </item>
+ </list>
+
+ <contexts>
+ <context name="Normal" attribute="Normal Text" lineEndContext="0">
+ <keyword attribute="Preprocessor" String="prep" context="0"/>
+ <keyword attribute="Keyword" String="statements" context="0"/>
+ <keyword attribute="Builtin Function" String="builtinfuncs" context="0"/>
+ <keyword attribute="Special Variable" String="specialvars" context="0"/>
+ <RegExpr attribute="Normal" String="[a-zA-Z][a-zA-Z0-9]+" context="0"/>
+ <RegExpr attribute="Long" String="[1-9][0-9]*([eE][0-9.]+)?[Ll]" context="0"/>
+ <RegExpr attribute="Hex" String="0[Xx][0-9a-fA-F]+" context="0"/>
+ <RegExpr attribute="Octal" String="0[1-9][0-9]*" context="0"/>
+ <RegExpr attribute="Complex" String="[0-9+.-]+[Jj]" context="0"/>
+ <RegExpr attribute="Float" String="([1-9][0-9]*)?\.[0-9]*([eE][1-9][0-9]*(\.[0-9]*)?)?" context="0"/>
+ <RegExpr attribute="Int" String="([1-9][0-9]*([eE][0-9]+)?|0)" context="0"/>
+
+ <RegExpr attribute="Raw String" String="[rR]'" context="9"/>
+ <RegExpr attribute="Raw String" String="[rR]&quot;" context="10"/>
+
+ <RegExpr attribute="Comment" String="#.*$" context="0"/>
+ <RegExpr attribute="Comment" String="^\s*'''" context="1"/>
+ <RegExpr attribute="Comment" String="^\s*&quot;&quot;&quot;" context="2"/>
+
+ <StringDetect attribute="String" String="'''" context="3"/>
+ <StringDetect attribute="String" String="&quot;&quot;&quot;" context="4"/>
+ <DetectChar attribute="String" char="'" context="7"/>
+ <DetectChar attribute="String" char="&quot;" context="8"/>
+
+ <RegExpr attribute="Operator" String="[+*/\(\)%\|\[\]\{\}:=;\!&lt;&gt;!^&amp;~-]" context="0"/>
+
+ </context>
+
+ <context name="Tripple A-comment" attribute="Comment" lineEndContext="1">
+ <HlCChar attribute="Comment" context="1"/>
+ <RegExpr attribute="Comment" String="'''" context="0"/>
+ </context>
+
+ <context name="Tripple Q-comment" attribute="Comment" lineEndContext="2">
+ <HlCChar attribute="Comment" context="2"/>
+ <RegExpr attribute="Comment" String="&quot;&quot;&quot;" context="0"/>
+ </context>
+
+ <context name="Tripple A-string" attribute="String" lineEndContext="3">
+ <HlCChar attribute="String" context="3"/>
+ <RegExpr attribute="Operator" String="%[a-zA-Z]" context="3"/>
+ <RegExpr attribute="String" String="'''" context="0"/>
+ </context>
+
+ <context name="Tripple Q-string" attribute="String" lineEndContext="4">
+ <HlCStringChar attribute="String" context="4"/>
+ <RegExpr attribute="Operator" String="%[a-zA-Z]" context="4"/>
+ <RegExpr attribute="String" String="&quot;&quot;&quot;" context="0"/>
+ </context>
+
+ <context name="Single A-comment" attribute="Comment" lineEndContext="5">
+ <HlCStringChar attribute="Comment" context="5"/>
+ <DetectChar attribute="Comment" char="'" context="0"/>
+ </context>
+
+ <context name="Single Q-comment" attribute="Comment" lineEndContext="6">
+ <HlCStringChar attribute="Comment" context="6"/>
+ <DetectChar attribute="Comment" char="&quot;" context="0"/>
+ </context>
+
+ <context name="Single A-string" attribute="String" lineEndContext="7">
+ <HlCStringChar attribute="String" context="7"/>
+ <RegExpr attribute="Operator" String="%[a-zA-Z]" context="7"/>
+ <DetectChar attribute="String" char="'" context="0"/>
+ </context>
+
+ <context name="Single Q-string" attribute="String" lineEndContext="8">
+ <HlCStringChar attribute="String" context="8"/>
+ <RegExpr attribute="Operator" String="%[a-zA-Z]" context="8"/>
+ <DetectChar attribute="String" char="&quot;" context="0"/>
+ </context>
+
+ <context name="Raw A-string" attribute="Raw String" lineEndContext="9">
+ <HlCStringChar attribute="Raw String" context="9"/>
+ <DetectChar attribute="Raw String" char="'" context="0"/>
+ </context>
+
+ <context name="Raw Q-string" attribute="Raw String" lineEndContext="10">
+ <HlCStringChar attribute="Raw String" context="10"/>
+ <DetectChar attribute="Raw String" char="&quot;" context="0"/>
+ </context>
+
+
+ </contexts>
+ <itemDatas>
+ <itemData name="Normal Text" defStyleNum="dsNormal"/>
+ <itemData name="Operator" defStyleNum="dsChar"/>
+ <itemData name="Keyword" defStyleNum="dsKeyword"/>
+ <itemData name="Builtin Function" defStyleNum="dsDataType"/>
+ <itemData name="Special Variable" defStyleNum="dsOthers"/>
+ <itemData name="Preprocessor" defStyleNum="dsChar"/>
+ <itemData name="Long" defStyleNum="dsOthers"/>
+ <itemData name="Float" defStyleNum="dsFloat"/>
+ <itemData name="Int" defStyleNum="dsDecVal"/>
+ <itemData name="Hex" defStyleNum="dsOthers"/>
+ <itemData name="Octal" defStyleNum="dsOthers"/>
+ <itemData name="Complex" defStyleNum="dsOthers"/>
+ <itemData name="Comment" defStyleNum="dsComment"/>
+ <itemData name="String" defStyleNum="dsString"/>
+ <itemData name="Raw String" defStyleNum="dsString"/>
+ </itemDatas>
+ </highlighting>
+</language>
diff --git a/share/tinykate/syntax/r.xml b/share/tinykate/syntax/r.xml
new file mode 100644
index 0000000..16b16b8
--- a/dev/null
+++ b/share/tinykate/syntax/r.xml
@@ -0,0 +1,54 @@
+<?xml version="1.0" encoding="UTF-8"?>
+<!DOCTYPE language SYSTEM "language.dtd">
+<language name="R Script" section="Scripts" extensions="*.R" mimetype="">
+ <!-- Kate 2.0 (KDE 3.0) highlighting module for R
+ version 0.2: (c) 2002 E.L. Willighagen, GPL v2 license
+
+ info: http://www-sigma.sci.kun.nl/Persoonlijk/egonw/r-kate/
+ Kate: http://www.kde.org/apps/kate
+ R : http://www.r-project.org/
+ -->
+ <highlighting>
+ <list name="keywords">
+ <item> for </item>
+ <item> in </item>
+ </list>
+ <contexts>
+ <context attribute="0" lineEndContext="#stay">
+ <keyword attribute="1" context="#stay" String="keywords"/>
+ <DetectChar attribute="4" context="2" char="&quot;"/>
+ <RegExpr attribute="1" context="1" String="[a-zA-Z_]+\("/>
+ <DetectChar attribute="5" context="3" char="#"/>
+ </context>
+
+ <context attribute="0" lineEndContext="#stay">
+ <LineContinue attribute="8" context="#stay"/>
+ <DetectChar attribute="1" context="#pop" char=")"/>
+ <DetectChar attribute="4" context="2" char="&quot;"/>
+ <RegExpr attribute="2" context="#stay" String="[a-zA-Z_]+="/>
+ <RegExpr attribute="1" context="1" String="[a-zA-Z_]+\("/>
+ </context>
+
+ <context attribute="4" lineEndContext="#stay">
+ <DetectChar attribute="4" context="#pop" char="&quot;"/>
+ </context>
+
+ <context attribute="5" lineEndContext="0" name="Comment"/>
+
+ </contexts>
+ <itemDatas>
+ <itemData name="Normal Text" defStyleNum="dsNormal"/>
+ <itemData name="Keyword" defStyleNum="dsKeyword"/>
+ <itemData name="Identifier" defStyleNum="dsOthers"/>
+ <itemData name="Types" defStyleNum="dsDataType"/>
+ <itemData name="String" defStyleNum="dsString"/>
+ <itemData name="Comment" defStyleNum="dsComment"/>
+ </itemDatas>
+ </highlighting>
+ <general>
+ <comments>
+ <comment name="singleLine" start="#" end=""/>
+ </comments>
+ <keywords casesensitive="0" />
+ </general>
+</language>
diff --git a/share/tinykate/syntax/rpmspec.xml b/share/tinykate/syntax/rpmspec.xml
new file mode 100644
index 0000000..68e7284
--- a/dev/null
+++ b/share/tinykate/syntax/rpmspec.xml
@@ -0,0 +1,67 @@
+<?xml version="1.0" encoding="UTF-8"?>
+<!DOCTYPE language SYSTEM "language.dtd">
+<language name="RPM Spec" version="1.00" kateversion="2.0" section="Other" extensions="*.spec" mimetype="">
+ <highlighting>
+ <list name="keywords">
+ <item> Name </item>
+ <item> Summary </item>
+ <item> Version </item>
+ <item> Release </item>
+ <item> Source </item>
+ <item> Group </item>
+ <item> BuildRoot </item>
+ <item> Copyright </item>
+ <item> Packager </item>
+ <item> Url </item>
+ <item> URL </item>
+ <item> Prefix </item>
+ <item> Requires </item>
+ <item> BuildRequires </item>
+ <item> Serial </item>
+ <item> Vendor </item>
+ <item> License </item>
+ </list>
+ <list name="types">
+ <item> GPL </item>
+ <item> LGPL </item>
+ <item> Artistic </item>
+ </list>
+ <contexts>
+
+ <context attribute="0" lineEndContext="0">
+ <keyword attribute="1" context="0" String="keywords"/>
+ <keyword attribute="5" context="0" String="types"/>
+ <RegExpr attribute="2" context="0" String="%[a-zA-Z0-9_]*" />
+ <DetectChar attribute="3" context="1" char="#" />
+ <RegExpr attribute="1" context="2" String="\{[a-zA-Z0-9_]*" />
+ <RegExpr attribute="4" context="3" String="&lt;\s*[a-zA-Z0-9_@\.]*" />
+ <RegExpr attribute="5" context="0" String="\$[a-zA-Z0-9_]*" />
+ <RegExpr attribute="1" context="0" String="(Source|Patch)[0-9]*" />
+ <RegExpr attribute="1" context="0" String="^\*.*" /> <!-- ChangeLog -->
+ <Int attribute="4" context="0" />
+ </context>
+
+ <context attribute="3" lineEndContext="0"/>
+
+ <context attribute="1" lineEndContext="0">
+ <DetectChar attribute="1" char="}" context="0"/>
+ </context>
+
+ <context attribute="4" lineEndContext="0">
+ <DetectChar attribute="4" char="&gt;" context="0"/>
+ </context>
+
+ </contexts>
+ <itemDatas>
+ <itemData name="Normal Text" defStyleNum="dsNormal"/>
+ <itemData name="Keyword" defStyleNum="dsKeyword"/>
+ <itemData name="Red" defStyleNum="dsString"/>
+ <itemData name="Comment" defStyleNum="dsComment"/>
+ <itemData name="Blue" defStyleNum="dsDecVal"/>
+ <itemData name="Data" defStyleNum="dsDataType"/>
+ </itemDatas>
+ </highlighting>
+ <general>
+ <keywords casesensitive="1" />
+ </general>
+</language>
diff --git a/share/tinykate/syntax/ruby.xml b/share/tinykate/syntax/ruby.xml
new file mode 100644
index 0000000..d7b6bfb
--- a/dev/null
+++ b/share/tinykate/syntax/ruby.xml
@@ -0,0 +1,152 @@
+<?xml version="1.0" encoding="UTF-8"?>
+<!DOCTYPE language SYSTEM "language.dtd">
+<language name="Ruby" version="1.00" kateversion="2.0" section="Scripts" extensions="*.rb" mimetype="text/x-ruby">
+ <highlighting>
+
+ <list name = "keywords">
+ <item> __FILE__ </item>
+ <item> __LINE__ </item>
+ <item> BEGIN </item>
+ <item> END </item>
+ <item> and </item>
+ <item> begin </item>
+ <item> break </item>
+ <item> and </item>
+ <item> begin </item>
+ <item> break </item>
+ <item> case </item>
+ <item> catch </item>
+ <item> defined? </item>
+ <item> do </item>
+ <item> else </item>
+ <item> elsif </item>
+ <item> end </item>
+ <item> ensure </item>
+ <item> for </item>
+ <item> if </item>
+ <item> in </item>
+ <item> include </item>
+ <item> next </item>
+ <item> not </item>
+ <item> or </item>
+ <item> private </item>
+ <item> protected </item>
+ <item> public </item>
+ <item> redo </item>
+ <item> require </item>
+ <item> rescue </item>
+ <item> retry </item>
+ <item> return </item>
+ <item> super </item>
+ <item> then </item>
+ <item> throw </item>
+ <item> unless </item>
+ <item> until </item>
+ <item> when </item>
+ <item> while </item>
+ <item> yield </item>
+ </list>
+
+ <list name = "attribute-definitions">
+ <item> attr </item>
+ <item> attr_reader </item>
+ <item> attr_writer </item>
+ <item> attr_accessor </item>
+ </list>
+
+ <list name = "definitions">
+ <item> alias </item>
+ <item> module </item>
+ <item> class </item>
+ <item> def </item>
+ <item> undef </item>
+ </list>
+
+ <list name = "literals">
+ <item> nil </item>
+ <item> false </item>
+ <item> true </item>
+ </list>
+
+ <contexts>
+ <context name = "Normal" attribute = "Normal Text" lineEndContext="0">
+ <keyword String = "keywords" attribute = "Keyword" context="0"/>
+ <keyword String = "literals" attribute = "Literal" context="0"/>
+ <StringDetect String= "self" attribute = "Instance Variable" context="0" insensitive="FALSE"/>
+ <keyword String = "attribute-definitions" attribute = "Attribute Definition" context="0"/>
+ <!-- group>
+ <keyword String = "definitions" attribute = "Keyword" context="0"/>
+ <whitespace/>
+ <regexp match = "[a-zA-Z_0-9.]+" attribute = "Definition"/>
+ </group -->
+ <Float attribute = "Float" context="0"/>
+ <Int attribute = "Decimal" context="0"/>
+ <HlCChar attribute = "Char" context="0"/>
+ <DetectChar char = "." attribute = "Operator" context="0"/>
+ <StringDetect String = "&amp;&amp;" attribute = "Operator" context="0" insensitive="FALSE"/>
+ <RegExpr String = ":[^\s]+" attribute = "Symbol" context="0"/>
+ <RegExpr String = "/[^\s]+/" attribute = "Delimiter" context="0"/>
+ <StringDetect String = "||" attribute = "Operator" context="0" insensitive="FALSE"/>
+ <RegExpr String = "\s\?\s" attribute = "Operator" context="0"/>
+ <RegExpr String = "\s:\s" attribute = "Operator" context="0"/>
+ <RegExpr String = "[&lt;&gt;!^&#61;&#37;+*~/-]+" attribute = "Operator" context="0"/>
+ <DetectChar char = '"' attribute = "String" context = "2"/>
+ <DetectChar char= "'" attribute = "String" context="3"/>
+ <RegExpr String = "^##$" attribute = "Main Comment" context="1"/>
+ <RegExpr String = "^#$" attribute = "Comment" context="0"/>
+ <RegExpr String = "[\[\]\{\}|]+" attribute = "Delimiter" context="0"/>
+ <RegExpr String = "[A-Z_]+" attribute = "Global Constant" context="0"/>
+ <RegExpr String = "$[a-zA-Z_0-9]+" attribute = "Global Variable" context="0"/>
+ <RegExpr String = "@[a-zA-Z_0-9]+" attribute = "Instance Variable" context="0"/>
+ <RegExpr String = "@@[a-zA-Z_0-9]+" attribute = "Class Variable" context="0"/>
+ </context>
+
+ <context name = "Main Comment" attribute = "Main Comment" lineEndContext="0">
+ <RegExpr String = "^##$" attribute = "Main Comment" context = "0"/>
+ <RegExpr String = "^#$" attribute = "Main Comment" context = "0"/>
+ </context>
+
+ <context name = "Quoted String" attribute = "String" lineEndContext="0">
+ <RegExpr String = "#{[^}]+}" attribute = "Expression" context = "0"/>
+ <HlCChar attribute = "Char" context = "0"/>
+ <DetectChar char = '"' attribute = "String" context = "0"/>
+ </context>
+
+ <context name = "Apostrophed String" attribute = "String" lineEndContext="0">
+ <RegExpr String = "#{[^}]+}" attribute = "Expression" context = "0"/>
+ <HlCChar attribute = "Char" context = "0"/>
+ <DetectChar char = "'" attribute = "String" context = "0"/>
+ </context>
+
+
+ </contexts>
+
+ <itemDatas>
+ <itemData name = "Normal Text" defStyleNum ="dsNormal"/>
+ <itemData name = "Keyword" defStyleNum ="dsKeyword"/>
+ <itemData name = "Definition" defStyleNum ="dsNormal"/>
+ <itemData name = "Literal" defStyleNum ="dsDecVal"/>
+ <itemData name = "Decimal" defStyleNum ="dsDecVal"/>
+ <itemData name = "Float" defStyleNum ="dsFloat"/>
+ <itemData name = "Char" defStyleNum ="dsChar"/>
+ <itemData name = "Symbol" defStyleNum ="dsString"/>
+ <itemData name = "String" defStyleNum ="dsString"/>
+ <itemData name = "Main Comment" defStyleNum ="dsComment" />
+ <itemData name = "Comment" defStyleNum ="dsComment"/>
+ <itemData name = "Delimiter" defStyleNum ="dsChar"/>
+ <itemData name = "Global Constant" defStyleNum ="dsDecVal"/>
+ <itemData name = "Global Variable" defStyleNum ="dsOthers"/>
+ <itemData name = "Instance Variable" defStyleNum ="dsOthers"/>
+ <itemData name = "Class Variable" defStyleNum ="dsOthers"/>
+ <itemData name = "Expression" defStyleNum ="dsOthers"/>
+ <itemData name = "Operator" defStyleNum ="dsChar"/>
+ <itemData name = "Attribute Definition" defStyleNum ="dsOthers"/>
+ </itemDatas>
+ </highlighting>
+ <general>
+ <comments>
+ <comment name="singleLine" start="#" />
+ </comments>
+ <keywords casesensitive="1" />
+ </general>
+</language>
diff --git a/share/tinykate/syntax/sather.xml b/share/tinykate/syntax/sather.xml
new file mode 100644
index 0000000..c7c99ca
--- a/dev/null
+++ b/share/tinykate/syntax/sather.xml
@@ -0,0 +1,141 @@
+<?xml version="1.0" encoding="UTF-8"?>
+<!DOCTYPE language SYSTEM "language.dtd">
+<language name="Sather" version="1.00" kateversion="2.0" section="Sources" extensions="*.sa" mimetype="text/x-sather-src" casesensitive="1">
+ <highlighting>
+ <list name="keywords">
+ <item> and </item>
+ <item> assert </item>
+ <item> attr </item>
+ <item> break! </item>
+ <item> case </item>
+ <item> class </item>
+ <item> const </item>
+ <item> else </item>
+ <item> elsif </item>
+ <item> end </item>
+ <item> exception </item>
+ <item> external </item>
+ <item> false </item>
+ <item> if </item>
+ <item> include </item>
+ <item> initial </item>
+ <item> is </item>
+ <item> ITER </item>
+ <item> loop </item>
+ <item> new </item>
+ <item> or </item>
+ <item> post </item>
+ <item> pre </item>
+ <item> private </item>
+ <item> protect </item>
+ <item> quit </item>
+ <item> raise </item>
+ <item> readonly </item>
+ <item> result </item>
+ <item> return </item>
+ <item> ROUT </item>
+ <item> SAME </item>
+ <item> self </item>
+ <item> shared </item>
+ <item> then </item>
+ <item> true </item>
+ <item> typecase </item>
+ <item> type </item>
+ <item> until! </item>
+ <item> value </item>
+ <item> void </item>
+ <item> when </item>
+ <item> while! </item>
+ <item> yield </item>
+ <item> abstract </item>
+ <item> any </item>
+ <item> bind </item>
+ <item> fork </item>
+ <item> guard </item>
+ <item> immutable </item>
+ <item> inout </item>
+ <item> in </item>
+ <item> lock </item>
+ <item> once </item>
+ <item> out </item>
+ <item> parloop </item>
+ <item> partial </item>
+ <item> par </item>
+ <item> spread </item>
+ <item> stub </item>
+ </list>
+ <list name="types">
+ <item> $OB </item>
+ <item> ARRAY </item>
+ <item> AREF </item>
+ <item> AVAL </item>
+ <item> BOOL </item>
+ <item> CHAR </item>
+ <item> EXT_OB </item>
+ <item> FLTDX </item>
+ <item> FLTD </item>
+ <item> FLTX </item>
+ <item> FLTI </item>
+ <item> FLT </item>
+ <item> INTI </item>
+ <item> INT </item>
+ <item> $REHASH </item>
+ <item> STR </item>
+ <item> SYS </item>
+ </list>
+ <list name="features">
+ <item> create </item>
+ <item> invariant </item>
+ <item> main </item>
+ <item> aget </item>
+ <item> aset </item>
+ <item> div </item>
+ <item> is_eq </item>
+ <item> is_geq </item>
+ <item> is_gt </item>
+ <item> is_leq </item>
+ <item> is_lt </item>
+ <item> is_neq </item>
+ <item> minus </item>
+ <item> mod </item>
+ <item> negate </item>
+ <item> not </item>
+ <item> plus </item>
+ <item> pow </item>
+ <item> times </item>
+ </list>
+ <contexts>
+ <context attribute="0" lineEndContext="0">
+ <keyword attribute="1" context="0" String="keywords"/>
+ <keyword attribute="2" context="0" String="types"/>
+ <keyword attribute="3" context="0" String="features"/>
+ <Float attribute="5" context="0"/>
+ <Int attribute="4" context="0"/>
+ <RegExpr attribute="6" context="0" String="'.'"/>
+ <DetectChar attribute="7" context="1" char="&quot;"/>
+ <Detect2Chars attribute="8" context="2" char="-" char1="-"/>
+ </context>
+ <context attribute="7" lineEndContext="0">
+ <DetectChar attribute="7" context="0" char="&quot;"/>
+ </context>
+ <context attribute="8" lineEndContext="0"/>
+ </contexts>
+ <itemDatas>
+ <itemData name="Normal Text" defStyleNum="dsNormal" />
+ <itemData name="Keyword" defStyleNum="dsKeyword" />
+ <itemData name="Data Type" defStyleNum="dsDataType"/>
+ <itemData name="Features" defStyleNum="dsOthers" />
+ <itemData name="Decimal" defStyleNum="dsDecVal" />
+ <itemData name="Float" defStyleNum="dsFloat" />
+ <itemData name="Char" defStyleNum="dsChar" />
+ <itemData name="String" defStyleNum="dsString" />
+ <itemData name="Comment" defStyleNum="dsComment" />
+ </itemDatas>
+ </highlighting>
+ <general>
+ <comments>
+ <comment name="singleLine" start="--" />
+ </comments>
+ <keywords casesensitive="1" />
+ </general>
+</language>
diff --git a/share/tinykate/syntax/scheme.xml b/share/tinykate/syntax/scheme.xml
new file mode 100644
index 0000000..a205ca7
--- a/dev/null
+++ b/share/tinykate/syntax/scheme.xml
@@ -0,0 +1,247 @@
+<?xml version="1.0" encoding="UTF-8"?>
+<!DOCTYPE language SYSTEM "language.dtd">
+<language name="Scheme" section="Sources" extensions="*.scm;*.ss;*.scheme" mimetype="text/x-scheme">
+ <highlighting>
+ <list name="blocks">
+ <item> begin </item>
+ <item> declare </item>
+ <item> define </item>
+ <item> define-syntax </item>
+ <item> define-structure </item>
+ <item> do </item>
+ <item> let </item>
+ <item> let* </item>
+ <item> letrec </item>
+ <item> let-syntax </item>
+ <item> letrec-syntax </item>
+ <item> lambda </item>
+ </list>
+ <list name="predicates">
+ <item> boolean </item>
+ <item> char-alphabetic </item>
+ <item> char-numeric </item>
+ <item> char-lower-case </item>
+ <item> char-ready </item>
+ <item> char-upper-case </item>
+ <item> char-whitespace </item>
+ <item> complex </item>
+ <item> eof-object </item>
+ <item> eq </item>
+ <item> eqv </item>
+ <item> equal </item>
+ <item> even </item>
+ <item> input-port </item>
+ <item> integer </item>
+ <item> list </item>
+ <item> negative </item>
+ <item> null </item>
+ <item> number </item>
+ <item> odd </item>
+ <item> output-port </item>
+ <item> pair </item>
+ <item> port </item>
+ <item> positive </item>
+ <item> procedure </item>
+ <item> rational </item>
+ <item> real </item>
+ <item> symbol </item>
+ <item> vector </item>
+ <item> zero </item>
+ </list>
+ <list name="predicates2">
+ <item> char </item>
+ <item> string </item>
+ </list>
+ <list name="assignments">
+ <item> append </item>
+ <item> set </item>
+ <item> set-car </item>
+ <item> set-cdr </item>
+ <item> string-fill </item>
+ <item> string-set </item>
+ </list>
+ <list name="mathops">
+ <item> abs </item>
+ <item> and </item>
+ <item> angle </item>
+ <item> acos </item>
+ <item> asin </item>
+ <item> atan </item>
+ <item> cos </item>
+ <item> denominator </item>
+ <item> exp </item>
+ <item> expt </item>
+ <item> floor </item>
+ <item> gcd </item>
+ <item> lcm </item>
+ <item> log </item>
+ <item> magnitude </item>
+ <item> max </item>
+ <item> min </item>
+ <item> modulo </item>
+ <item> not </item>
+ <item> numerator </item>
+ <item> or </item>
+ <item> round </item>
+ <item> sin </item>
+ <item> sqrt </item>
+ <item> tan </item>
+ <item> truncate </item>
+ </list>
+ <list name="keywords">
+ <item> apply </item>
+ <item> assq </item>
+ <item> assv </item>
+ <item> assoc </item>
+ <item> call-with-current-continuation </item>
+ <item> call-with-input-file </item>
+ <item> call-with-output-file </item>
+ <item> call-with-values </item>
+ <item> car </item>
+ <item> case </item>
+ <item> cdr </item>
+ <item> ceiling </item>
+ <item> char-upcase </item>
+ <item> char-downcase </item>
+ <item> close-input-file </item>
+ <item> close-output-file </item>
+ <item> cond </item>
+ <item> cons </item>
+ <item> cons* </item>
+ <item> current-input-port </item>
+ <item> current-output-port </item>
+ <item> delay </item>
+ <item> display </item>
+ <item> dynamic-wind </item>
+ <item> else </item>
+ <item> eval </item>
+ <item> force </item>
+ <item> for-each </item>
+ <item> if </item>
+ <item> imag-part </item>
+ <item> interaction-environment </item>
+ <item> length </item>
+ <item> list </item>
+ <item> list-ref </item>
+ <item> list-tail </item>
+ <item> memq </item>
+ <item> memv </item>
+ <item> member </item>
+ <item> load </item>
+ <item> make-polar </item>
+ <item> make-rectangular </item>
+ <item> make-string </item>
+ <item> make-vector </item>
+ <item> map </item>
+ <item> newline </item>
+ <item> null-environment </item>
+ <item> open-input-file </item>
+ <item> open-output-file </item>
+ <item> peek-char </item>
+ <item> quasiquote </item>
+ <item> quote </item>
+ <item> quotient </item>
+ <item> rationalize </item>
+ <item> read </item>
+ <item> read-char </item>
+ <item> real-part </item>
+ <item> remainder </item>
+ <item> reverse </item>
+ <item> scheme-report-environment </item>
+ <item> space </item>
+ <item> string-append </item>
+ <item> string-copy </item>
+ <item> string-length </item>
+ <item> string-ref </item>
+ <item> substring </item>
+ <item> syntax-rules </item>
+ <item> transcript-on </item>
+ <item> transcript-off </item>
+ <item> unquote </item>
+ <item> unquote-splicing </item>
+ <item> values </item>
+ <item> with-input-from-file </item>
+ <item> with-output-to-file </item>
+ <item> write </item>
+ <item> write-char </item>
+ </list>
+ <contexts>
+ <context name="Intro" attribute="0" lineEndContext="0">
+ <DetectChar attribute="8" context="2" char=";"/>
+ <DetectChar attribute="9" context="1" char="("/>
+ </context>
+ <context name="Normal" attribute="0" lineEndContext="1">
+ <DetectChar attribute="8" context="2" char=";"/>
+ <DetectChar attribute="9" context="1" char="("/>
+ <DetectChar attribute="9" context="1" char=")"/>
+ <StringDetect attribute="13" context="1" String="list-&gt;string"/>
+ <StringDetect attribute="13" context="1" String="string-&gt;list"/>
+ <StringDetect attribute="13" context="1" String="char-&gt;integer"/>
+ <StringDetect attribute="13" context="1" String="integer-&gt;char"/>
+ <StringDetect attribute="13" context="1" String="string-&gt;symbol"/>
+ <StringDetect attribute="13" context="1" String="symbol-&gt;string"/>
+ <StringDetect attribute="13" context="1" String="exact-&gt;inexact"/>
+ <StringDetect attribute="13" context="1" String="inexact-&gt;exact"/>
+ <StringDetect attribute="13" context="1" String="number-&gt;string"/>
+ <StringDetect attribute="13" context="1" String="string-&gt;number"/>
+ <keyword attribute="7" context="1" String="blocks" weakDelimiter="-"/>
+ <keyword attribute="1" context="1" String="keywords" weakDelimiter="-"/>
+ <keyword attribute="1" context="3" String="predicates" weakDelimiter="-"/>
+ <keyword attribute="1" context="4" String="predicates2"/>
+ <RegExpr attribute="10" context="1" String="[&lt;&gt;]?=?\s"/>
+ <RegExpr attribute="13" context="1" String="=&gt;\s"/>
+ <keyword attribute="1" context="5" String="assignments" weakDelimiter="-"/>
+ <keyword attribute="12" context="1" String="mathops"/>
+ <DetectChar attribute="8" context="7" char="&quot;"/>
+ <StringDetect attribute="3" context="1" String="'()"/>
+ <RegExpr attribute="13" context="1" String="['`]"/>
+ <RegExpr attribute="6" context="6" String="#[bodxei]"/>
+ <RegExpr attribute="3" context="1" String="#[tf]"/>
+ <Float attribute="5" context="1"/>
+ <Int attribute="3" context="1"/>
+ </context>
+ <context name="Comment" attribute="8" lineEndContext="1"/>
+ <context name="Predicates" attribute="0" lineEndContext="1">
+ <DetectChar attribute="10" context="1" char="?"/>
+ </context>
+ <context name="Predicates2" attribute="0" lineEndContext="1">
+ <RegExpr attribute="1" context="4" String="-ci"/>
+ <RegExpr attribute="10" context="1" String="[&lt;&gt;]?=?\?"/>
+ </context>
+ <context name="Assignments" attribute="0" lineEndContext="1">
+ <DetectChar attribute="11" context="1" char="!"/>
+ </context>
+ <context name="SpecialNumber" attribute="0" lineEndContext="1">
+ <Float attribute="5" context="1"/>
+ <Int attribute="3" context="1"/>
+ <HlCOct attribute="4" context="1"/>
+ <HlCHex attribute="5" context="1"/>
+ </context>
+ <context name="String" attribute="2" lineEndContext="7">
+ <DetectChar attribute="8" context="1" char="&quot;"/>
+ </context>
+ </contexts>
+ <itemDatas>
+ <itemData name="Normal" defStyleNum="dsNormal"/>
+ <itemData name="Keyword" defStyleNum="dsKeyword"/>
+ <itemData name="Data" defStyleNum="dsDataType"/>
+ <itemData name="Decimal" defStyleNum="dsDecVal"/>
+ <itemData name="BaseN" defStyleNum="dsBaseN"/>
+ <itemData name="Float" defStyleNum="dsFloat"/>
+ <itemData name="Char" defStyleNum="dsChar"/>
+ <itemData name="String" defStyleNum="dsString"/>
+ <itemData name="Comment" defStyleNum="dsComment"/>
+ <itemData name="Operator" defStyleNum="dsOthers"/>
+ <itemData name="Predicate" defStyleNum="dsNormal" color="#ff00ff" selColor="#fffa00" bold="1" italic="0"/>
+ <itemData name="Assignments" defStyleNum="dsNormal" color="#ff0000" selColor="#fffa00" bold="1" italic="0"/>
+ <itemData name="MathOps" defStyleNum="dsNormal" color="#239bbc" selColor="#fffa00" bold="0" italic="0"/>
+ <itemData name="Special" defStyleNum="dsNormal" color="#543491" selColor="#fffa00" bold="1" italic="0"/>
+ </itemDatas>
+ </highlighting>
+ <general>
+ <comments>
+ <comment name="singleLine" start=";"/>
+ </comments>
+ <keywords casesensitive="0"/>
+ </general>
+</language>
diff --git a/share/tinykate/syntax/sgml.xml b/share/tinykate/syntax/sgml.xml
new file mode 100644
index 0000000..8dbab28
--- a/dev/null
+++ b/share/tinykate/syntax/sgml.xml
@@ -0,0 +1,47 @@
+<?xml version="1.0" encoding="UTF-8"?>
+<!DOCTYPE language SYSTEM "language.dtd">
+<language name="SGML" version="1.00" kateversion="2.0" section="Markup" extensions="*.sgml" mimetype="text/sgml">
+ <highlighting>
+ <contexts>
+ <context attribute="0" lineEndContext="#stay">
+ <StringDetect attribute="Comment" context="4" String="&lt;!--"/>
+ <RegExpr attribute="1" context="1" String="&lt;\s*\/?\s*[a-zA-Z_:][a-zA-Z0-9._:-]*" />
+ </context>
+
+ <context attribute="2" lineEndContext="#stay">
+ <Detect2Chars attribute="1" context="#push" char="/" char1="&gt;" />
+ <DetectChar attribute="1" context="#push" char="&gt;" />
+ <RegExpr attribute="0" context="2" String="\s*=\s*" />
+ </context>
+
+ <context attribute="3" lineEndContext="#stay">
+ <RegExpr attribute="3" context="#push" String="[A-Za-z0-9.-]*" />
+ <DetectChar attribute="3" context="3" char="&quot;" />
+ </context>
+
+ <context attribute="3" lineEndContext="#stay">
+ <DetectChar attribute="3" context="#pop#pop" char="&quot;" />
+ </context>
+
+ <context attribute="Comment" lineEndContext="#stay">
+ <String---Detect attribute="Comment" context="4" String="&lt;!--"/>
+ <StringDetect attribute="Comment" context="#pop" String="--&gt;"/>
+ </context>
+
+ </contexts>
+ <itemDatas>
+ <itemData name="Normal Text" defStyleNum="dsNormal"/>
+ <itemData name="Keyword" defStyleNum="dsKeyword"/>
+ <itemData name="Identifier" defStyleNum="dsOthers"/>
+ <itemData name="Types" defStyleNum="dsDataType"/>
+ <itemData name="String" defStyleNum="dsString"/>
+ <itemData name="Comment" defStyleNum="dsComment"/>
+ </itemDatas>
+ </highlighting>
+ <general>
+ <comments>
+ <comment name="multiLine" start="&lt;!--" end="//--&gt;" />
+ </comments>
+ <keywords casesensitive="0" />
+ </general>
+</language>
diff --git a/share/tinykate/syntax/sml.xml b/share/tinykate/syntax/sml.xml
new file mode 100644
index 0000000..0d882fd
--- a/dev/null
+++ b/share/tinykate/syntax/sml.xml
@@ -0,0 +1,100 @@
+<?xml version="1.0" encoding="UTF-8"?>
+<!DOCTYPE language SYSTEM "language.dtd">
+<language name="SML" version="1.00" kateversion="2.0" section="Scripts" extensions="*.sml" mimetype="">
+ <highlighting>
+ <list name="keywords">
+ <item> abstype </item>
+ <item> and </item>
+ <item> andalso </item>
+ <item> as </item>
+ <item> case </item>
+ <item> do </item>
+ <item> datatype </item>
+ <item> else </item>
+ <item> end </item>
+ <item> eqtype </item>
+ <item> exception </item>
+ <item> fn </item>
+ <item> fun </item>
+ <item> functor </item>
+ <item> handle </item>
+ <item> if </item>
+ <item> in </item>
+ <item> include </item>
+ <item> infix </item>
+ <item> infixr </item>
+ <item> let </item>
+ <item> local </item>
+ <item> nonfix </item>
+ <item> of </item>
+ <item> op </item>
+ <item> open </item>
+ <item> orelse </item>
+ <item> raise </item>
+ <item> rec </item>
+ <item> sharing </item>
+ <item> sig </item>
+ <item> signature </item>
+ <item> struct </item>
+ <item> structure </item>
+ <item> then </item>
+ <item> type </item>
+ <item> val </item>
+ <item> where </item>
+ <item> with </item>
+ <item> withtype </item>
+ <item> while </item>
+ </list>
+ <list name="types">
+ <item> unit </item>
+ <item> int </item>
+ <item> real </item>
+ <item> char </item>
+ <item> string </item>
+ <item> substring </item>
+ <item> word </item>
+ <item> ref </item>
+ <item> array </item>
+ <item> vector </item>
+ <item> bool </item>
+ <item> list </item>
+ <item> option </item>
+ <item> order </item>
+ </list>
+ <contexts>
+ <context attribute="0" lineEndContext="#stay" name="Normal">
+ <Detect2Chars attribute="6" context="1" char="(" char1="*" />
+ <DetectChar attribute="5" context="2" char="&quot;" />
+ <keyword attribute="1" context="#stay" String="keywords" />
+ <keyword attribute="2" context="#stay" String="types" />
+ <Float attribute="4" context="#stay">
+ <AnyChar String="fF" attribute="4" context="#stay"/>
+ </Float>
+ <HlCHex attribute="3" context="#stay"/>
+ <Int attribute="3" context="#stay" />
+ </context>
+ <context attribute="6" lineEndContext="#stay" name="Multiline/Inline Comment">
+ <Detect2Chars attribute="6" context="#pop" char="*" char1=")" />
+ </context>
+ <context attribute="5" lineEndContext="#stay" name="String">
+ <DetectChar attribute="5" context="#pop" char="&quot;" />
+ </context>
+ </contexts>
+ <itemDatas>
+ <itemData name="Normal Text" defStyleNum="dsNormal"/>
+ <itemData name="Keyword" defStyleNum="dsKeyword"/>
+ <itemData name="Data Type" defStyleNum="dsDataType"/>
+ <itemData name="Decimal" defStyleNum="dsDecVal"/>
+ <itemData name="Float" defStyleNum="dsFloat"/>
+ <itemData name="String" defStyleNum="dsString"/>
+ <itemData name="Comment" defStyleNum="dsComment" />
+ <itemData name="Notice" defStyleNum="dsString" />
+ </itemDatas>
+ </highlighting>
+ <general>
+ <keywords casesensitive="1" />
+ <comments>
+ <comment name="multiLine" start="(*" end="*)" />
+ </comments>
+ </general>
+</language>
diff --git a/share/tinykate/syntax/sql.xml b/share/tinykate/syntax/sql.xml
new file mode 100644
index 0000000..9d426a7
--- a/dev/null
+++ b/share/tinykate/syntax/sql.xml
@@ -0,0 +1,881 @@
+<?xml version="1.0" encoding="UTF-8"?>
+<!DOCTYPE language SYSTEM "language.dtd">
+<language name="SQL" version="1.00" kateversion="2.0" section="Other" extensions="*.sql;*.SQL" mimetype="text/x-sql">
+ <highlighting>
+ <list name="keywords">
+ <item> ACCESS </item>
+ <item> ACCOUNT </item>
+ <item> ADD </item>
+ <item> ADMIN </item>
+ <item> ADMINISTER </item>
+ <item> ADVISE </item>
+ <item> AFTER </item>
+ <item> AGENT </item>
+ <item> ALL </item>
+ <item> ALL_ROWS </item>
+ <item> ALLOCATE </item>
+ <item> ALTER </item>
+ <item> ANALYZE </item>
+ <item> ANCILLARY </item>
+ <item> AND </item>
+ <item> ANY </item>
+ <item> ARCHIVE </item>
+ <item> ARCHIVELOG </item>
+ <item> AS </item>
+ <item> ASC </item>
+ <item> ASSOCIATE </item>
+ <item> AT </item>
+ <item> ATTRIBUTE </item>
+ <item> ATTRIBUTES </item>
+ <item> AUDIT </item>
+ <item> AUTHENTICATED </item>
+ <item> AUTHID </item>
+ <item> AUTHORIZATION </item>
+ <item> AUTOALLOCATE </item>
+ <item> AUTOEXTEND </item>
+ <item> AUTOMATIC </item>
+ <item> BACKUP </item>
+ <item> BECOME </item>
+ <item> BEFORE </item>
+ <item> BEGIN </item>
+ <item> BEHALF </item>
+ <item> BETWEEN </item>
+ <item> BINDING </item>
+ <item> BITMAP </item>
+ <item> BLOCK </item>
+ <item> BLOCK_RANGE </item>
+ <item> BODY </item>
+ <item> BOUND </item>
+ <item> BOTH </item>
+ <item> BREAK </item>
+ <item> BROADCAST </item>
+ <item> BTITLE </item>
+ <item> BUFFER_POOL </item>
+ <item> BUILD </item>
+ <item> BULK </item>
+ <item> BY </item>
+ <item> CACHE </item>
+ <item> CACHE_INSTANCES </item>
+ <item> CALL </item>
+ <item> CANCEL </item>
+ <item> CASCADE </item>
+ <item> CASE </item>
+ <item> CATEGORY </item>
+ <item> CHAINED </item>
+ <item> CHANGE </item>
+ <item> CHECK </item>
+ <item> CHECKPOINT </item>
+ <item> CHILD </item>
+ <item> CHOOSE </item>
+ <item> CHUNK </item>
+ <item> CLASS </item>
+ <item> CLEAR </item>
+ <item> CLONE </item>
+ <item> CLOSE </item>
+ <item> CLOSE_CACHED_OPEN_CURSORS </item>
+ <item> CLUSTER </item>
+ <item> COALESCE </item>
+ <item> COLUMN </item>
+ <item> COLUMNS </item>
+ <item> COLUMN_VALUE </item>
+ <item> COMMENT </item>
+ <item> COMMIT </item>
+ <item> COMMITTED </item>
+ <item> COMPATIBILITY </item>
+ <item> COMPILE </item>
+ <item> COMPLETE </item>
+ <item> COMPOSITE_LIMIT </item>
+ <item> COMPRESS </item>
+ <item> COMPUTE </item>
+ <item> CONNECT </item>
+ <item> CONNECT_TIME </item>
+ <item> CONSIDER </item>
+ <item> CONSISTENT </item>
+ <item> CONSTANT </item>
+ <item> CONSTRAINT </item>
+ <item> CONSTRAINTS </item>
+ <item> CONTAINER </item>
+ <item> CONTENTS </item>
+ <item> CONTEXT </item>
+ <item> CONTINUE </item>
+ <item> CONTROLFILE </item>
+ <item> COPY </item>
+ <item> COST </item>
+ <item> CPU_PER_CALL </item>
+ <item> CPU_PER_SESSION </item>
+ <item> CREATE </item>
+ <item> CREATE_STORED_OUTLINES </item>
+ <item> CUBE </item>
+ <item> CURRENT </item>
+ <item> CURSOR </item>
+ <item> CYCLE </item>
+ <item> DANGLING </item>
+ <item> DATA </item>
+ <item> DATABASE </item>
+ <item> DATAFILE </item>
+ <item> DATAFILES </item>
+ <item> DAY </item>
+ <item> DBA </item>
+ <item> DDL </item>
+ <item> DEALLOCATE </item>
+ <item> DEBUG </item>
+ <item> DECLARE </item>
+ <item> DEFAULT </item>
+ <item> DEFERRABLE </item>
+ <item> DEFERRED </item>
+ <item> DEFINER </item>
+ <item> DEGREE </item>
+ <item> DELETE </item>
+ <item> DEMAND </item>
+ <item> DESC </item>
+ <item> DETERMINES </item>
+ <item> DICTIONARY </item>
+ <item> DIMENSION </item>
+ <item> DIRECTORY </item>
+ <item> DISABLE </item>
+ <item> DISASSOCIATE </item>
+ <item> DISCONNECT </item>
+ <item> DISMOUNT </item>
+ <item> DISTINCT </item>
+ <item> DISTRIBUTED </item>
+ <item> DROP </item>
+ <item> DYNAMIC </item>
+ <item> EACH </item>
+ <item> ELSE </item>
+ <item> ENABLE </item>
+ <item> END </item>
+ <item> ENFORCE </item>
+ <item> ENTRY </item>
+ <item> ESCAPE </item>
+ <item> ESTIMATE </item>
+ <item> EVENTS </item>
+ <item> EXCEPT </item>
+ <item> EXCEPTION </item>
+ <item> EXCEPTIONS </item>
+ <item> EXCHANGE </item>
+ <item> EXCLUDING </item>
+ <item> EXCLUSIVE </item>
+ <item> EXEC </item>
+ <item> EXECUTE </item>
+ <item> EXISTS </item>
+ <item> EXPIRE </item>
+ <item> EXPLAIN </item>
+ <item> EXPLOSION </item>
+ <item> EXTENDS </item>
+ <item> EXTENT </item>
+ <item> EXTENTS </item>
+ <item> EXTERNALLY </item>
+ <item> FAILED_LOGIN_ATTEMPTS </item>
+ <item> FALSE </item>
+ <item> FAST </item>
+ <item> FILE </item>
+ <item> FILTER </item>
+ <item> FIRST_ROWS </item>
+ <item> FLAGGER </item>
+ <item> FLUSH </item>
+ <item> FOLLOWING </item>
+ <item> FOR </item>
+ <item> FORCE </item>
+ <item> FOREIGN </item>
+ <item> FREELIST </item>
+ <item> FREELISTS </item>
+ <item> FRESH </item>
+ <item> FROM </item>
+ <item> FULL </item>
+ <item> FUNCTION </item>
+ <item> FUNCTIONS </item>
+ <item> GENERATED </item>
+ <item> GLOBAL </item>
+ <item> GLOBALLY </item>
+ <item> GLOBAL_NAME </item>
+ <item> GRANT </item>
+ <item> GROUP </item>
+ <item> GROUPS </item>
+ <item> HASH </item>
+ <item> HASHKEYS </item>
+ <item> HAVING </item>
+ <item> HEADER </item>
+ <item> HEAP </item>
+ <item> HIERARCHY </item>
+ <item> HOUR </item>
+ <item> ID </item>
+ <item> IDENTIFIED </item>
+ <item> IDENTIFIER </item>
+ <item> IDGENERATORS </item>
+ <item> IDLE_TIME </item>
+ <item> IF </item>
+ <item> IMMEDIATE </item>
+ <item> IN </item>
+ <item> INCLUDING </item>
+ <item> INCREMENT </item>
+ <item> INCREMENTAL </item>
+ <item> INDEX </item>
+ <item> INDEXED </item>
+ <item> INDEXES </item>
+ <item> INDEXTYPE </item>
+ <item> INDEXTYPES </item>
+ <item> INDICATOR </item>
+ <item> INITIAL </item>
+ <item> INITIALIZED </item>
+ <item> INITIALLY </item>
+ <item> INITRANS </item>
+ <item> INSERT </item>
+ <item> INSTANCE </item>
+ <item> INSTANCES </item>
+ <item> INSTEAD </item>
+ <item> INTERMEDIATE </item>
+ <item> INTERSECT </item>
+ <item> INTERVAL </item>
+ <item> INTO </item>
+ <item> INVALIDATE </item>
+ <item> IS </item>
+ <item> ISOLATION </item>
+ <item> ISOLATION_LEVEL </item>
+ <item> JAVA </item>
+ <item> JOIN </item>
+ <item> KEEP </item>
+ <item> KEY </item>
+ <item> KILL </item>
+ <item> LABEL </item>
+ <item> LAYER </item>
+ <item> LEADING </item>
+ <item> LESS </item>
+ <item> LEVEL </item>
+ <item> LIBRARY </item>
+ <item> LIKE </item>
+ <item> LIMIT </item>
+ <item> LINK </item>
+ <item> LIST </item>
+ <item> LOCAL </item>
+ <item> LOCATOR </item>
+ <item> LOCK </item>
+ <item> LOCKED </item>
+ <item> LOGFILE </item>
+ <item> LOGGING </item>
+ <item> LOGICAL_READS_PER_CALL </item>
+ <item> LOGICAL_READS_PER_SESSION </item>
+ <item> LOGOFF </item>
+ <item> LOGON </item>
+ <item> MANAGE </item>
+ <item> MANAGED </item>
+ <item> MANAGEMENT </item>
+ <item> MASTER </item>
+ <item> MATERIALIZED </item>
+ <item> MAXARCHLOGS </item>
+ <item> MAXDATAFILES </item>
+ <item> MAXEXTENTS </item>
+ <item> MAXINSTANCES </item>
+ <item> MAXLOGFILES </item>
+ <item> MAXLOGHISTORY </item>
+ <item> MAXLOGMEMBERS </item>
+ <item> MAXSIZE </item>
+ <item> MAXTRANS </item>
+ <item> MAXVALUE </item>
+ <item> METHOD </item>
+ <item> MEMBER </item>
+ <item> MERGE </item>
+ <item> MINIMIZE </item>
+ <item> MINIMUM </item>
+ <item> MINEXTENTS </item>
+ <item> MINUS </item>
+ <item> MINUTE </item>
+ <item> MINVALUE </item>
+ <item> MODE </item>
+ <item> MODIFY </item>
+ <item> MONITORING </item>
+ <item> MONTH </item>
+ <item> MOUNT </item>
+ <item> MOVE </item>
+ <item> MOVEMENT </item>
+ <item> MTS_DISPATCHERS </item>
+ <item> MULTISET </item>
+ <item> NAMED </item>
+ <item> NEEDED </item>
+ <item> NESTED </item>
+ <item> NESTED_TABLE_ID </item>
+ <item> NETWORK </item>
+ <item> NEVER </item>
+ <item> NEW </item>
+ <item> NEXT </item>
+ <item> NLS_CALENDAR </item>
+ <item> NLS_CHARACTERSET </item>
+ <item> NLS_COMP </item>
+ <item> NLS_CURRENCY </item>
+ <item> NLS_DATE_FORMAT </item>
+ <item> NLS_DATE_LANGUAGE </item>
+ <item> NLS_ISO_CURRENCY </item>
+ <item> NLS_LANG </item>
+ <item> NLS_LANGUAGE </item>
+ <item> NLS_NUMERIC_CHARACTERS </item>
+ <item> NLS_SORT </item>
+ <item> NLS_SPECIAL_CHARS </item>
+ <item> NLS_TERRITORY </item>
+ <item> NO </item>
+ <item> NOARCHIVELOG </item>
+ <item> NOAUDIT </item>
+ <item> NOCACHE </item>
+ <item> NOCOMPRESS </item>
+ <item> NOCYCLE </item>
+ <item> NOFORCE </item>
+ <item> NOLOGGING </item>
+ <item> NOMAXVALUE </item>
+ <item> NOMINIMIZE </item>
+ <item> NOMINVALUE </item>
+ <item> NOMONITORING </item>
+ <item> NONE </item>
+ <item> NOORDER </item>
+ <item> NOOVERRIDE </item>
+ <item> NOPARALLEL </item>
+ <item> NORELY </item>
+ <item> NORESETLOGS </item>
+ <item> NOREVERSE </item>
+ <item> NORMAL </item>
+ <item> NOSEGMENT </item>
+ <item> NOSORT </item>
+ <item> NOT </item>
+ <item> NOTHING </item>
+ <item> NOVALIDATE </item>
+ <item> NOWAIT </item>
+ <item> NULL </item>
+ <item> NULLS </item>
+ <item> OBJNO </item>
+ <item> OBJNO_REUSE </item>
+ <item> OF </item>
+ <item> OFF </item>
+ <item> OFFLINE </item>
+ <item> OID </item>
+ <item> OIDINDEX </item>
+ <item> OLD </item>
+ <item> ON </item>
+ <item> ONLINE </item>
+ <item> ONLY </item>
+ <item> OPCODE </item>
+ <item> OPEN </item>
+ <item> OPERATOR </item>
+ <item> OPTIMAL </item>
+ <item> OPTIMIZER_GOAL </item>
+ <item> OPTION </item>
+ <item> OR </item>
+ <item> ORDER </item>
+ <item> ORGANIZATION </item>
+ <item> OUT </item>
+ <item> OUTLINE </item>
+ <item> OVER </item>
+ <item> OVERFLOW </item>
+ <item> OVERLAPS </item>
+ <item> OWN </item>
+ <item> PACKAGE </item>
+ <item> PACKAGES </item>
+ <item> PARALLEL </item>
+ <item> PARAMETERS </item>
+ <item> PARENT </item>
+ <item> PARTITION </item>
+ <item> PARTITIONS </item>
+ <item> PARTITION_HASH </item>
+ <item> PARTITION_RANGE </item>
+ <item> PASSWORD </item>
+ <item> PASSWORD_GRACE_TIME </item>
+ <item> PASSWORD_LIFE_TIME </item>
+ <item> PASSWORD_LOCK_TIME </item>
+ <item> PASSWORD_REUSE_MAX </item>
+ <item> PASSWORD_REUSE_TIME </item>
+ <item> PASSWORD_VERIFY_FUNCTION </item>
+ <item> PCTFREE </item>
+ <item> PCTINCREASE </item>
+ <item> PCTTHRESHOLD </item>
+ <item> PCTUSED </item>
+ <item> PCTVERSION </item>
+ <item> PERCENT </item>
+ <item> PERMANENT </item>
+ <item> PLAN </item>
+ <item> PLSQL_DEBUG </item>
+ <item> POST_TRANSACTION </item>
+ <item> PREBUILT </item>
+ <item> PRECEDING </item>
+ <item> PREPARE </item>
+ <item> PRESERVE </item>
+ <item> PRIMARY </item>
+ <item> PRIOR </item>
+ <item> PRIVATE </item>
+ <item> PRIVATE_SGA </item>
+ <item> PRIVILEGE </item>
+ <item> PRIVILEGES </item>
+ <item> PROCEDURE </item>
+ <item> PROFILE </item>
+ <item> PUBLIC </item>
+ <item> PURGE </item>
+ <item> QUERY </item>
+ <item> QUEUE </item>
+ <item> QUOTA </item>
+ <item> RANDOM </item>
+ <item> RANGE </item>
+ <item> RBA </item>
+ <item> READ </item>
+ <item> READS </item>
+ <item> REBUILD </item>
+ <item> RECORDS_PER_BLOCK </item>
+ <item> RECOVER </item>
+ <item> RECOVERABLE </item>
+ <item> RECOVERY </item>
+ <item> RECYCLE </item>
+ <item> REDUCED </item>
+ <item> REFERENCES </item>
+ <item> REFERENCING </item>
+ <item> REFRESH </item>
+ <item> RELY </item>
+ <item> RENAME </item>
+ <item> RESET </item>
+ <item> RESETLOGS </item>
+ <item> RESIZE </item>
+ <item> RESOLVE </item>
+ <item> RESOLVER </item>
+ <item> RESOURCE </item>
+ <item> RESTRICT </item>
+ <item> RESTRICTED </item>
+ <item> RESUME </item>
+ <item> RETURN </item>
+ <item> RETURNING </item>
+ <item> REUSE </item>
+ <item> REVERSE </item>
+ <item> REVOKE </item>
+ <item> REWRITE </item>
+ <item> ROLE </item>
+ <item> ROLES </item>
+ <item> ROLLBACK </item>
+ <item> ROLLUP </item>
+ <item> ROW </item>
+ <item> ROWNUM </item>
+ <item> ROWS </item>
+ <item> RULE </item>
+ <item> SAMPLE </item>
+ <item> SAVEPOINT </item>
+ <item> SCAN </item>
+ <item> SCAN_INSTANCES </item>
+ <item> SCHEMA </item>
+ <item> SCN </item>
+ <item> SCOPE </item>
+ <item> SD_ALL </item>
+ <item> SD_INHIBIT </item>
+ <item> SD_SHOW </item>
+ <item> SECOND </item>
+ <item> SEGMENT </item>
+ <item> SEG_BLOCK </item>
+ <item> SEG_FILE </item>
+ <item> SELECT </item>
+ <item> SELECTIVITY </item>
+ <item> SEQUENCE </item>
+ <item> SERIALIZABLE </item>
+ <item> SERVERERROR </item>
+ <item> SESSION </item>
+ <item> SESSION_CACHED_CURSORS </item>
+ <item> SESSIONS_PER_USER </item>
+ <item> SET </item>
+ <item> SHARE </item>
+ <item> SHARED </item>
+ <item> SHARED_POOL </item>
+ <item> SHRINK </item>
+ <item> SHUTDOWN </item>
+ <item> SINGLETASK </item>
+ <item> SIZE </item>
+ <item> SKIP </item>
+ <item> SKIP_UNUSABLE_INDEXES </item>
+ <item> SNAPSHOT </item>
+ <item> SOME </item>
+ <item> SORT </item>
+ <item> SOURCE </item>
+ <item> SPECIFICATION </item>
+ <item> SPLIT </item>
+ <item> SQL_TRACE </item>
+ <item> STANDBY </item>
+ <item> START </item>
+ <item> STARTUP </item>
+ <item> STATEMENT_ID </item>
+ <item> STATISTICS </item>
+ <item> STATIC </item>
+ <item> STOP </item>
+ <item> STORAGE </item>
+ <item> STORE </item>
+ <item> STRUCTURE </item>
+ <item> SUBPARTITION </item>
+ <item> SUBPARTITIONS </item>
+ <item> SUCCESSFUL </item>
+ <item> SUMMARY </item>
+ <item> SUSPEND </item>
+ <item> SWITCH </item>
+ <item> SYS_OP_BITVEC </item>
+ <item> SYS_OP_ENFORCE_NOT_NULL$ </item>
+ <item> SYS_OP_NOEXPAND </item>
+ <item> SYS_OP_NTCIMG$ </item>
+ <item> SYNONYM </item>
+ <item> SYSDBA </item>
+ <item> SYSOPER </item>
+ <item> SYSTEM </item>
+ <item> TABLE </item>
+ <item> TABLES </item>
+ <item> TABLESPACE </item>
+ <item> TABLESPACE_NO </item>
+ <item> TABNO </item>
+ <item> TEMPFILE </item>
+ <item> TEMPORARY </item>
+ <item> THAN </item>
+ <item> THE </item>
+ <item> THEN </item>
+ <item> THREAD </item>
+ <item> THROUGH </item>
+ <item> TIMEOUT </item>
+ <item> TIMEZONE_HOUR </item>
+ <item> TIMEZONE_MINUTE </item>
+ <item> TIME_ZONE </item>
+ <item> TO </item>
+ <item> TOPLEVEL </item>
+ <item> TRACE </item>
+ <item> TRACING </item>
+ <item> TRAILING </item>
+ <item> TRANSACTION </item>
+ <item> TRANSITIONAL </item>
+ <item> TRIGGER </item>
+ <item> TRIGGERS </item>
+ <item> TRUE </item>
+ <item> TRUNCATE </item>
+ <item> TYPE </item>
+ <item> TYPES </item>
+ <item> UNARCHIVED </item>
+ <item> UNBOUND </item>
+ <item> UNBOUNDED </item>
+ <item> UNDO </item>
+ <item> UNIFORM </item>
+ <item> UNION </item>
+ <item> UNIQUE </item>
+ <item> UNLIMITED </item>
+ <item> UNLOCK </item>
+ <item> UNRECOVERABLE </item>
+ <item> UNTIL </item>
+ <item> UNUSABLE </item>
+ <item> UNUSED </item>
+ <item> UPD_INDEXES </item>
+ <item> UPDATABLE </item>
+ <item> UPDATE </item>
+ <item> UPPPER </item>
+ <item> USAGE </item>
+ <item> USE </item>
+ <item> USE_STORED_OUTLINES </item>
+ <item> USER_DEFINED </item>
+ <item> USING </item>
+ <item> VALIDATE </item>
+ <item> VALIDATION </item>
+ <item> VALUES </item>
+ <item> VIEW </item>
+ <item> WHEN </item>
+ <item> WHENEVER </item>
+ <item> WHERE </item>
+ <item> WITH </item>
+ <item> WITHOUT </item>
+ <item> WORK </item>
+ <item> WRITE </item>
+ <item> YEAR </item>
+ <item> ZONE </item>
+ </list>
+    <list name="operators">
+      <item> + </item>
+      <item> - </item>
+      <item> * </item>
+      <item> / </item>
+      <item> || </item>
+      <item> = </item>
+      <item> != </item>
+      <item> &lt;&gt; </item>
+      <item> &lt; </item>
+      <item> &lt;= </item>
+      <item> &gt; </item>
+      <item> &gt;= </item>
+      <item> ~= </item>
+      <item> ^= </item>
+      <item> := </item>
+      <item> =&gt; </item>
+      <item> ** </item>
+      <item> .. </item>
+     </list>
+ <list name="functions">
+ <item> ABS </item>
+ <item> ACOS </item>
+ <item> ADD_MONTHS </item>
+ <item> ASCII </item>
+ <item> ASCIISTR </item>
+ <item> ASIN </item>
+ <item> ATAN </item>
+ <item> ATAN2 </item>
+ <item> AVG </item>
+ <item> BFILENAME </item>
+ <item> BIN_TO_NUM </item>
+ <item> BITAND </item>
+ <item> CAST </item>
+ <item> CEIL </item>
+ <item> CHARTOROWID </item>
+ <item> CHR </item>
+ <item> COALESCE </item>
+ <item> COMPOSE </item>
+ <item> CONCAT </item>
+ <item> CONVERT </item>
+ <item> CORR </item>
+ <item> COS </item>
+ <item> COSH </item>
+ <item> COUNT </item>
+ <item> COVAR_POP </item>
+ <item> COVAR_SAMP </item>
+ <item> CUME_DIST </item>
+ <item> CURRENT_DATE </item>
+ <item> CURRENT_TIMESTAMP </item>
+ <item> DBTIMEZONE </item>
+ <item> DECODE </item>
+ <item> DECOMPOSE </item>
+ <item> DENSE_RANK </item>
+ <item> DEREF </item>
+ <item> DUMP </item>
+ <item> EMPTY_BLOB </item>
+ <item> EMPTY_CLOB </item>
+ <item> EXISTSNODE </item>
+ <item> EXP </item>
+ <item> EXTRACT </item>
+ <item> FIRST </item>
+ <item> FIRST_VALUE </item>
+ <item> FLOOR </item>
+ <item> FROM_TZ </item>
+ <item> GREATEST </item>
+ <item> GROUP_ID </item>
+ <item> GROUPING </item>
+ <item> GROUPING_ID </item>
+ <item> HEXTORAW </item>
+ <item> INITCAP </item>
+ <item> INSTR </item>
+ <item> INSTRB </item>
+ <item> LAG </item>
+ <item> LAST </item>
+ <item> LAST_DAY </item>
+ <item> LAST_VALUE </item>
+ <item> LEAD </item>
+ <item> LEAST </item>
+ <item> LENGTH </item>
+ <item> LENGTHB </item>
+ <item> LN </item>
+ <item> LOCALTIMESTAMP </item>
+ <item> LOG </item>
+ <item> LOWER </item>
+ <item> LPAD </item>
+ <item> LTRIM </item>
+ <item> MAKE_REF </item>
+ <item> MAX </item>
+ <item> MIN </item>
+ <item> MOD </item>
+ <item> MONTHS_BETWEEN </item>
+ <item> NCHR </item>
+ <item> NEW_TIME </item>
+ <item> NEXT_DAY </item>
+ <item> NLS_CHARSET_DECL_LEN </item>
+ <item> NLS_CHARSET_ID </item>
+ <item> NLS_CHARSET_NAME </item>
+ <item> NLS_INITCAP </item>
+ <item> NLS_LOWER </item>
+ <item> NLS_UPPER </item>
+ <item> NLSSORT </item>
+ <item> NTILE </item>
+ <item> NULLIF </item>
+ <item> NUMTODSINTERVAL </item>
+ <item> NUMTOYMINTERVAL </item>
+ <item> NVL </item>
+ <item> NVL2 </item>
+ <item> PERCENT_RANK </item>
+ <item> PERCENTILE_CONT </item>
+ <item> PERCENTILE_DISC </item>
+ <item> POWER </item>
+ <item> RANK </item>
+ <item> RATIO_TO_REPORT </item>
+ <item> RAWTOHEX </item>
+ <item> REF </item>
+ <item> REFTOHEX </item>
+ <item> REGR_SLOPE </item>
+ <item> REGR_INTERCEPT </item>
+ <item> REGR_COUNT </item>
+ <item> REGR_R2 </item>
+ <item> REGR_AVGX </item>
+ <item> REGR_AVGY </item>
+ <item> REGR_SXX </item>
+ <item> REGR_SYY </item>
+ <item> REGR_SXY </item>
+ <item> REPLACE </item>
+ <item> ROUND </item>
+ <item> ROW_NUMBER </item>
+ <item> ROWIDTOCHAR </item>
+ <item> ROWIDTONCHAR </item>
+ <item> RPAD </item>
+ <item> RTRIM </item>
+ <item> SESSIONTIMEZONE </item>
+ <item> SIGN </item>
+ <item> SIN </item>
+ <item> SINH </item>
+ <item> SOUNDEX </item>
+ <item> SUBSTR </item>
+ <item> SQRT </item>
+ <item> STDDEV </item>
+ <item> STDDEV_POP </item>
+ <item> STDDEV_SAMP </item>
+ <item> SUBSTR </item>
+ <item> SUBSTRB </item>
+ <item> SUM </item>
+ <item> SYS_CONNECT_BY_PATH </item>
+ <item> SYS_CONTEXT </item>
+ <item> SYS_DBURIGEN </item>
+ <item> SYS_EXTRACT_UTC </item>
+ <item> SYS_GUID </item>
+ <item> SYS_TYPEID </item>
+ <item> SYS_XMLAGG </item>
+ <item> SYS_XMLGEN </item>
+ <item> SYSDATE </item>
+ <item> SYSTIMESTAMP </item>
+ <item> TAN </item>
+ <item> TANH </item>
+ <item> TO_CHAR </item>
+ <item> TO_CLOB </item>
+ <item> TO_DATE </item>
+ <item> TO_DSINTERVAL </item>
+ <item> TO_LOB </item>
+ <item> TO_MULTI_BYTE </item>
+ <item> TO_NCHAR </item>
+ <item> TO_NCLOB </item>
+ <item> TO_NUMBER </item>
+ <item> TO_SINGLE_BYTE </item>
+ <item> TO_TIMESTAMP </item>
+ <item> TO_TIMESTAMP_TZ </item>
+ <item> TO_YMINTERVAL </item>
+ <item> TRANSLATE </item>
+ <item> TREAT </item>
+ <item> TRIM </item>
+ <item> TRUNC </item>
+ <item> TZ_OFFSET </item>
+ <item> UID </item>
+ <item> UNISTR </item>
+ <item> UPPER </item>
+ <item> USER </item>
+ <item> USERENV </item>
+ <item> VALUE </item>
+ <item> VAR_POP </item>
+ <item> VAR_SAMP </item>
+ <item> VARIANCE </item>
+ <item> VSIZE </item>
+ <item> WIDTH_BUCKET </item>
+ </list>
+ <list name="types">
+ <item> ANYDATA </item>
+ <item> ANYDATASET </item>
+ <item> ANYTYPE </item>
+ <item> ARRAY </item>
+ <item> BFILE </item>
+ <item> BINARY_INTEGER </item>
+ <item> BLOB </item>
+ <item> BOOLEAN </item>
+ <item> CFILE </item>
+ <item> CHAR </item>
+ <item> CHARACTER </item>
+ <item> CLOB </item>
+ <item> DATE </item>
+ <item> DBURITYPE </item>
+ <item> DEC </item>
+ <item> DECIMAL </item>
+ <item> DOUBLE </item>
+ <item> FLOAT </item>
+ <item> FLOB </item>
+ <item> HTTPURITYPE </item>
+ <item> INT </item>
+ <item> INTEGER </item>
+ <item> LOB </item>
+ <item> LONG </item>
+ <item> MLSLABEL </item>
+ <item> NATIONAL </item>
+ <item> NCHAR </item>
+ <item> NCLOB </item>
+ <item> NUMBER </item>
+ <item> NUMERIC </item>
+ <item> NVARCHAR2 </item>
+ <item> OBJECT </item>
+ <item> PLS_INTEGER </item>
+ <item> PRECISION </item>
+ <item> RAW </item>
+ <item> RECORD </item>
+ <item> REAL </item>
+ <item> ROWID </item>
+ <item> SINGLE </item>
+ <item> SMALLINT </item>
+ <item> TIMESTAMP </item>
+ <item> TIME </item>
+ <item> URIFACTORYTYPE </item>
+ <item> URITYPE </item>
+ <item> UROWID </item>
+ <item> VARCHAR </item>
+ <item> VARCHAR2 </item>
+ <item> VARYING </item>
+ <item> VARRAY </item>
+ <item> XMLTYPE </item>
+ </list>
+ <contexts>
+ <context name="Normal Text" attribute="0" lineEndContext="0">
+ <keyword attribute="1" context="0" String="keywords"/>
+ <keyword attribute="2" context="0" String="operators"/>
+ <keyword attribute="3" context="0" String="functions"/>
+ <keyword attribute="4" context="0" String="types"/>
+ <RegExpr attribute="4" context="0" String="%[Bb][Uu][Ll][Kk]_[Ee][Xx][Cc][Ee][Pp][Tt][Ii][Oo][Nn][Ss]\b"/>
+ <RegExpr attribute="4" context="0" String="%[Bb][Uu][Ll][Kk]_[Rr][Oo][Ww][Cc][Oo][Uu][Nn][Tt]\b"/>
+ <RegExpr attribute="4" context="0" String="%[Ff][Oo][Uu][Nn][Dd]\b"/>
+ <RegExpr attribute="4" context="0" String="%[Ii][Ss][Oo][Pp][Ee][Nn]\b"/>
+ <RegExpr attribute="4" context="0" String="%[Nn][Oo][Tt][Ff][Oo][Uu][Nn][Dd]\b"/>
+ <RegExpr attribute="4" context="0" String="%[Rr][Oo][Ww][Cc][Oo][Uu][Nn][Tt]\b"/>
+ <RegExpr attribute="4" context="0" String="%[Rr][Oo][Ww][Tt][Yy][Pp][Ee]\b"/>
+ <RegExpr attribute="4" context="0" String="%[Tt][Yy][Pp][Ee]\b"/>
+ <Float attribute="6" context="0"/>
+ <Int attribute="5" context="0"/>
+ <DetectChar attribute="7" context="1" char="'"/>
+ <Detect2Chars attribute="8" context="2" char="-" char1="-"/>
+ <Detect2Chars attribute="8" context="3" char="/" char1="*"/>
+ <RegExpr attribute="8" context="4" String="^[Rr][Ee][Mm]\b"/>
+ <DetectChar attribute="9" context="5" char="&quot;"/>
+ <AnyChar attribute="10" context="0" String=":&#38;"/>
+ <RegExpr attribute="10" context="0" String="^/$"/>
+ <RegExpr attribute="11" context="6" String="^@@?[^@ \t\r\n]"/>
+ </context>
+ <context name="String" attribute="7" lineEndContext="1">
+ <LineContinue attribute="7" context="0"/>
+ <DetectChar attribute="10" context="1" char="&#38;"/>
+ <DetectChar attribute="7" context="0" char="'"/>
+ </context>
+ <context name="Comment" attribute="8" lineEndContext="0"/>
+ <context name="Comment" attribute="8" lineEndContext="3">
+ <LineContinue attribute="8" context="0"/>
+ <Detect2Chars attribute="8" context="0" char="*" char1="/"/>
+ </context>
+ <context name="Comment" attribute="8" lineEndContext="0"/>
+ <context name="Identifier" attribute="9" lineEndContext="0">
+ <DetectChar attribute="9" context="0" char="&quot;"/>
+ </context>
+ <context name="Preprocessor" attribute="11" lineEndContext="0"/>
+ </contexts>
+ <itemDatas>
+ <itemData name="Normal Text" defStyleNum="dsNormal"/>
+ <itemData name="Keyword" defStyleNum="dsKeyword"/>
+ <itemData name="Operator" defStyleNum="dsNormal"/>
+ <itemData name="Function" defStyleNum="dsKeyword"/>
+ <itemData name="Data Type" defStyleNum="dsDataType"/>
+ <itemData name="Decimal" defStyleNum="dsDecVal"/>
+ <itemData name="Float" defStyleNum="dsFloat"/>
+ <itemData name="String" defStyleNum="dsString"/>
+ <itemData name="Comment" defStyleNum="dsComment"/>
+ <itemData name="Identifier" defStyleNum="dsOthers"/>
+ <itemData name="Symbol" defStyleNum="dsChar"/>
+ <itemData name="Preprocessor" defStyleNum="dsOthers"/>
+ </itemDatas>
+ </highlighting>
+ <general>
+ <comments>
+ <comment name="singleLine" start="--"/>
+ <comment name="multiLine" start="/*" end="*/"/>
+ </comments>
+ <keywords casesensitive="0"/>
+ </general>
+</language>
diff --git a/share/tinykate/syntax/tcl.xml b/share/tinykate/syntax/tcl.xml
new file mode 100644
index 0000000..8fa9d06
--- a/dev/null
+++ b/share/tinykate/syntax/tcl.xml
@@ -0,0 +1,226 @@
+<?xml version="1.0" encoding="UTF-8"?>
+<!DOCTYPE language SYSTEM "language.dtd">
+
+<!-- Author: Alexander Dederer -->
+<!-- Copyright: BSD copyright -->
+<!-- Ported to Kate 2.0/ KWrite 4.0 by Joseph Wenninger -->
+
+<language name="TCL/TK" version="1.00" kateversion="2.0" section="Scripts" extensions="*.tcl;*.tk" mimetype="text/x-tcl">
+ <highlighting>
+ <list name="keywords">
+ <item> after </item>
+ <item> append </item>
+ <item> AppleScript </item>
+ <item> argv </item>
+ <item> argc </item>
+ <item> array </item>
+ <item> auto_execk </item>
+ <item> auto_load </item>
+ <item> auto_mkindex </item>
+ <item> auto_path </item>
+ <item> auto_reset </item>
+ <item> beep </item>
+ <item> bell </item>
+ <item> binary </item>
+ <item> bind </item>
+ <item> bindtags </item>
+ <item> bgerror </item>
+ <item> break </item>
+ <item> button </item>
+ <item> canvas </item>
+ <item> case </item>
+ <item> catch </item>
+ <item> cd </item>
+ <item> checkbutton </item>
+ <item> clipboard </item>
+ <item> clock </item>
+ <item> close </item>
+ <item> concat </item>
+ <item> console </item>
+ <item> continue </item>
+ <item> dde </item>
+ <item> destroy </item>
+ <item> encoding </item>
+ <item> entry </item>
+ <item> env </item>
+ <item> eof </item>
+ <item> error </item>
+ <item> errorCode </item>
+ <item> errorInfo </item>
+ <item> eval </item>
+ <item> event </item>
+ <item> exec </item>
+ <item> exit </item>
+ <item> expr </item>
+ <item> fblocked </item>
+ <item> fconfigure </item>
+ <item> fcopy </item>
+ <item> file </item>
+ <item> fileevent </item>
+ <item> flush </item>
+ <item> focus </item>
+ <item> font </item>
+ <item> for </item>
+ <item> foreach </item>
+ <item> format </item>
+ <item> frame </item>
+ <item> gets </item>
+ <item> glob </item>
+ <item> global </item>
+ <item> grab </item>
+ <item> grid </item>
+ <item> history </item>
+ <item> if </item>
+ <item> image </item>
+ <item> incr </item>
+ <item> info </item>
+ <item> interp </item>
+ <item> join </item>
+ <item> label </item>
+ <item> lappend </item>
+ <item> lindex </item>
+ <item> linsert </item>
+ <item> list </item>
+ <item> listbox </item>
+ <item> llength </item>
+ <item> load </item>
+ <item> lower </item>
+ <item> lrange </item>
+ <item> lreplace </item>
+ <item> lsearch </item>
+ <item> lsort </item>
+ <item> menu </item>
+ <item> menubutton </item>
+ <item> message </item>
+ <item> namespace </item>
+ <item> open </item>
+ <item> option </item>
+ <item> OptProc </item>
+ <item> pack </item>
+ <item> package </item>
+ <item> parray </item>
+ <item> pid </item>
+ <item> place </item>
+ <item> pkg_mkindex </item>
+ <item> proc </item>
+ <item> puts </item>
+ <item> pwd </item>
+ <item> radiobutton </item>
+ <item> raise </item>
+ <item> read </item>
+ <item> regexp </item>
+ <item> registry </item>
+ <item> regsub </item>
+ <item> rename </item>
+ <item> resource </item>
+ <item> return </item>
+ <item> scale </item>
+ <item> scan </item>
+ <item> scrollbar </item>
+ <item> seek </item>
+ <item> selection </item>
+ <item> send </item>
+ <item> set </item>
+ <item> socket </item>
+ <item> source </item>
+ <item> split </item>
+ <item> string </item>
+ <item> subst </item>
+ <item> switch </item>
+ <item> tclLog </item>
+ <item> tcl_endOfWord </item>
+ <item> tcl_findLibrary </item>
+ <item> tcl_library </item>
+ <item> tcl_patchLevel </item>
+ <item> tcl_platform </item>
+ <item> tcl_precision </item>
+ <item> tcl_rcFileName </item>
+ <item> tcl_rcRsrcName </item>
+ <item> tcl_startOfNextWord </item>
+ <item> tcl_startOfPreviousWord </item>
+ <item> tcl_traceCompile </item>
+ <item> tcl_traceExec </item>
+ <item> tcl_version </item>
+ <item> tcl_wordBreakAfter </item>
+ <item> tcl_wordBreakBefore </item>
+ <item> tell </item>
+ <item> text </item>
+ <item> time </item>
+ <item> tk </item>
+ <item> tkTabToWindow </item>
+ <item> tkwait </item>
+ <item> tk_chooseColor </item>
+ <item> tk_chooseDirectory </item>
+ <item> tk_focusFollowMouse </item>
+ <item> tk_focusNext </item>
+ <item> tk_focusPrev </item>
+ <item> tk_getOpenFile </item>
+ <item> tk_getSaveFile </item>
+ <item> tk_library </item>
+ <item> tk_messageBox </item>
+ <item> tk_optionMenu </item>
+ <item> tk_patchLevel </item>
+ <item> tk_popup </item>
+ <item> tk_strictMotif </item>
+ <item> tk_version </item>
+ <item> toplevel </item>
+ <item> trace </item>
+ <item> unknown </item>
+ <item> unset </item>
+ <item> update </item>
+ <item> uplevel </item>
+ <item> upvar </item>
+ <item> variable </item>
+ <item> vwait </item>
+ <item> while </item>
+ <item> winfo </item>
+ <item> wm </item>
+ </list>
+ <contexts>
+ <context name = "Base" attribute = "0" lineEndContext="#stay">
+ <keyword String = "keywords" attribute = "1" context="#stay"/>
+ <RegExpr String = "$[0-9]+" attribute = "8" context="#stay"/>
+ <AnyChar String = "=" attribute = "9" context="#stay"/>
+ <RegExpr String = "-\w+" attribute = "9" content="#stay"/>
+ <DetectChar char = '"' attribute = "5" context="#stay"/>
+ <DetectChar char="`" attribute = "7" context = "2"/>
+ <RegExpr String= "#.*$" attribute = "6" context="#stay"/>
+ <DetectChar char = '{' attribute = "1" context="#stay"/>
+ <DetectChar char = '}' attribute = "1" context="#stay"/>
+ <DetectChar char = '[' attribute = "1" context="#stay"/>
+ <DetectChar char = ']' attribute = "1" context="#stay"/>
+ </context>
+
+ <context name = "String" attribute = "5" lineEndContext="1">
+ <HlCChar attribute = "4" context = "#stay"/>
+ <DetectChar char = '"' attribute = "5" context = "#pop"/>
+ <DetectChar char = '{' attribute = "1" context="#pop"/>
+ <DetectChar char = '}' attribute = "1" context="#pop"/>
+ <DetectChar char = '[' attribute = "1" context="#pop"/>
+ <DetectChar char = ']' attribute = "1" context="#pop"/>
+ </context>
+
+ <context name = "Substitution" attribute = "7" lineEndContext="#stay">
+ <DetectChar char = "`" attribute = "7" context = "#pop"/>
+ </context>
+ </contexts>
+ <itemDatas>
+ <itemData name = "Normal Text" defStyleNum = "dsNormal"/>
+ <itemData name = "Keyword" defStyleNum = "dsKeyword"/>
+ <itemData name = "Decimal" defStyleNum = "dsDecVal"/>
+ <itemData name = "Float" defStyleNum = "dsFloat"/>
+ <itemData name = "Char" defStyleNum = "dsChar"/>
+ <itemData name = "String" defStyleNum = "dsString"/>
+ <itemData name = "Comment" defStyleNum = "dsComment"/>
+ <itemData name = "Substitution" defStyleNum = "dsOthers" color="#00ff00" selcolor="#ff0000"/>
+ <itemData name = "Parameter" defStyleNum = "dsOthers"/>
+ <itemData name = "Operator" defStyleNum = "dsOthers"/>
+ </itemDatas>
+ </highlighting>
+ <general>
+ <comments>
+ <comment name="singleLine" start="#" />
+ </comments>
+ <keywords casesensitive="1" />
+ </general>
+</highlighting>
diff --git a/share/tinykate/syntax/verilog.xml b/share/tinykate/syntax/verilog.xml
new file mode 100644
index 0000000..7484227
--- a/dev/null
+++ b/share/tinykate/syntax/verilog.xml
@@ -0,0 +1,229 @@
+<?xml version="1.0" encoding="UTF-8"?>
+<!DOCTYPE language SYSTEM "language.dtd">
+<!-- author: Yevgen Voronenko (ysv22@drexel.edu) -->
+<!-- $Id$ -->
+<language name="Verilog" version="1.2" kateversion="2.0" section="Sources" extensions="*.v;*.V;*.vl" mimetype="text/x-verilog-src">
+ <highlighting>
+ <list name="keywords">
+ <item> module </item>
+ <item> macromodule </item>
+ <item> endmodule </item>
+ <item> task </item>
+ <item> endtask </item>
+ <item> function </item>
+ <item> endfunction </item>
+ <item> table </item>
+ <item> endtable </item>
+ <item> specify </item>
+ <item> specparam </item>
+ <item> endspecify </item>
+ <item> case </item>
+ <item> casex </item>
+ <item> casez </item>
+ <item> endcase </item>
+ <item> fork </item>
+ <item> join </item>
+
+ <item> defparam </item>
+ <item> default </item>
+ <item> begin </item>
+ <item> end </item>
+ <item> if </item>
+ <item> ifnone </item>
+ <item> else </item>
+ <item> forever </item>
+ <item> while </item>
+ <item> for </item>
+ <item> wait </item>
+ <item> repeat </item>
+ <item> disable </item>
+
+ <item> assign </item>
+ <item> deassign </item>
+ <item> force </item>
+ <item> release </item>
+
+ <item> always </item>
+ <item> initial </item>
+ <item> edge </item>
+ <item> posedge </item>
+ <item> negedge </item>
+ </list>
+
+ <list name="strength">
+ <!-- drive strength supply0/supply1 omitted, its in types.. -->
+ <item> strong0 </item>
+ <item> strong1 </item>
+ <item> pull0 </item>
+ <item> pull1 </item>
+ <item> weak0 </item>
+ <item> weak1 </item>
+ <item> highz0 </item>
+ <item> highz1 </item>
+ <!-- charge strength -->
+ <item> small </item>
+ <item> medium </item>
+ <item> large </item>
+ </list>
+
+ <list name="gates">
+ <item> pullup </item>
+ <item> pulldown </item>
+ <item> cmos </item>
+ <item> rcmos </item>
+ <item> nmos </item>
+ <item> pmos </item>
+ <item> rnmos </item>
+ <item> rpmos </item>
+ <item> and </item>
+ <item> nand </item>
+ <item> or </item>
+ <item> nor </item>
+ <item> xor </item>
+ <item> xnor </item>
+ <item> not </item>
+ <item> buf </item>
+ <item> tran </item>
+ <item> rtran </item>
+ <item> tranif0 </item>
+ <item> tranif1 </item>
+ <item> rtranif0 </item>
+ <item> rtranif1 </item>
+ <item> bufif0 </item>
+ <item> bufif1 </item>
+ <item> notif0 </item>
+ <item> notif1 </item>
+ </list>
+
+ <list name="types">
+ <!-- port direction -->
+ <item> input </item>
+ <item> output </item>
+ <item> inout </item>
+ <!-- net type -->
+ <item> wire </item>
+ <item> tri </item>
+ <item> tri0 </item>
+ <item> tri1 </item>
+ <item> wand </item>
+ <item> wor </item>
+ <item> triand </item>
+ <item> trior </item>
+ <item> supply0 </item>
+ <item> supply1 </item>
+ <!-- reg/variable -->
+ <item> reg </item>
+ <item> integer </item>
+ <item> real </item>
+ <item> realtime </item>
+ <item> time </item>
+ <!-- modifier -->
+ <item> vectored </item>
+ <item> scalared </item>
+ <item> trireg </item>
+ <!-- other -->
+ <item> parameter </item>
+ <item> event </item>
+ </list>
+
+ <contexts>
+ <context attribute="0" lineEndContext="0" name="Normal">
+ <RegExpr attribute="1" context="9" String="begin\ *:"/>
+ <keyword attribute="1" context="0" String="keywords" />
+ <keyword attribute="2" context="0" String="types" />
+ <keyword attribute="18" context="0" String="strength" />
+ <keyword attribute="19" context="0" String="gates" />
+
+ <RegExpr attribute="0" context="0" String="[a-zA-Z]+[a-zA-Z0-9_$]*"/>
+ <RegExpr attribute="0" context="0" String="\\[^ ]+ "/>
+
+ <RegExpr attribute="3" context="0" String="[0-9_]*'d[0-9_]+"/>
+ <RegExpr attribute="4" context="0" String="[0-9_]*'o[0-7xXzZ_]+"/>
+ <RegExpr attribute="5" context="0" String="[0-9_]*'h[0-9a-fA-FxXzZ_]+"/>
+ <RegExpr attribute="6" context="0" String="[0-9_]*'b[01_zZxX]+"/>
+ <Float attribute="7" context="0"/>
+ <Int attribute="15" context="0" />
+
+ <RegExpr attribute="20" context="0" String="[^a-zA-Z0-9_$]\.[a-zA-Z]+[a-zA-Z0-9_$]*"/>
+
+ <DetectChar attribute="8" context="1" char="&quot;"/>
+ <Detect2Chars attribute="10" context="2" char="/" char1="/"/>
+ <Detect2Chars attribute="10" context="3" char="/" char1="*"/>
+ <AnyChar attribute="11" context="0" String="!%&amp;()+,-&lt;=+/:;&gt;?[]^{|}~@"/>
+
+ <StringDetect attribute="10" context="8" String="#if 0" insensitive="FALSE"/>
+
+ <RegExpr attribute="12" context="4" String="^`"/>
+ <RegExpr attribute="12" context="0" String="\`[a-zA-Z_]+[a-zA-Z0-9_]*" />
+ <RegExpr attribute="14" context="0" String="\$[a-zA-Z_]+[a-zA-Z0-9_]*" />
+ <RegExpr attribute="16" context="0" String="#[0-9_]+" />
+ </context>
+ <context attribute="8" lineEndContext="0" name="String">
+ <LineContinue attribute="8" context="6"/>
+ <HlCStringChar attribute="9" context="1"/>
+ <DetectChar attribute="8" context="0" char="&quot;"/>
+ </context>
+ <context attribute="10" lineEndContext="0" name="Commentar 1">
+ <RegExpr attribute="3" context="2" String="(FIXME|TODO)" />
+ </context>
+ <context attribute="10" lineEndContext="3" name="Commentar 2">
+ <RegExpr attribute="3" context="3" String="(FIXME|TODO)" />
+ <Detect2Chars attribute="10" context="0" char="*" char1="/"/>
+ </context>
+ <context attribute="12" lineEndContext="0" name="Preprocessor">
+ <LineContinue attribute="12" context="7"/>
+ <RangeDetect attribute="13" context="4" char="&quot;" char1="&quot;"/>
+ <RangeDetect attribute="13" context="4" char="&lt;" char1="&gt;"/>
+ <Detect2Chars attribute="10" context="2" char="/" char1="/"/>
+ <Detect2Chars attribute="10" context="5" char="/" char1="*"/>
+ </context>
+ <context attribute="10" lineEndContext="5" name="Commentar/Preprocessor">
+ <Detect2Chars attribute="10" context="4" char="*" char1="/" />
+ </context>
+ <context attribute="0" lineEndContext="1" name="Some Context"/>
+ <context attribute="0" lineEndContext="4" name="Some Context2"/>
+ <context attribute="10" lineEndContext="8">
+ <RegExpr attribute="3" context="8" String="(FIXME|TODO)" />
+ <RegExpr attribute="10" context="0" String="^#endif" />
+ </context>
+
+ <context attribute="17" lineEndContext="0" name="Block name">
+ <RegExpr attribute="2" context="0" String="[^ ]+"/>
+ </context>
+ </contexts>
+
+ <itemDatas>
+ <itemData name="Normal Text" defStyleNum="dsNormal"/>
+ <itemData name="Keyword" defStyleNum="dsKeyword"/>
+ <itemData name="Data Type" defStyleNum="dsDataType"/>
+
+ <itemData name="Decimal" defStyleNum="dsBaseN"/>
+ <itemData name="Octal" defStyleNum="dsBaseN"/>
+ <itemData name="Hex" defStyleNum="dsBaseN"/>
+ <itemData name="Binary" defStyleNum="dsBaseN"/>
+ <itemData name="Float" defStyleNum="dsFloat"/>
+
+ <itemData name="String" defStyleNum="dsString"/>
+ <itemData name="String Char" defStyleNum="dsChar"/>
+ <itemData name="Comment" defStyleNum="dsComment"/>
+ <itemData name="Symbol" defStyleNum="dsNormal"/>
+ <itemData name="Preprocessor" defStyleNum="dsOthers"/>
+ <itemData name="Prep. Lib" defStyleNum="dsFloat"/>
+ <itemData name="System Task" defStyleNum="dsDataType"/>
+
+ <itemData name="Integer" defStyleNum="dsDecVal"/>
+ <itemData name="Delay" defStyleNum="dsBaseN"/>
+ <itemData name="Block name" defStyleNum="dsDataType"/>
+ <itemData name="Drive/charge strength" defStyleNum="dsBaseN"/>
+ <itemData name="Gate instantiation" defStyleNum="dsDataType"/>
+ <itemData name="Port connection" defStyleNum="dsDataType"/>
+ </itemDatas>
+ </highlighting>
+ <general>
+ <comments>
+ <comment name="singleLine" start="//" />
+ <comment name="multiLine" start="/*" end="*/" />
+ </comments>
+ <keywords casesensitive="1" />
+ </general>
+</language>
diff --git a/share/tinykate/syntax/vhdl.xml b/share/tinykate/syntax/vhdl.xml
new file mode 100644
index 0000000..9dba7d3
--- a/dev/null
+++ b/share/tinykate/syntax/vhdl.xml
@@ -0,0 +1,284 @@
+<?xml version="1.0" encoding="UTF-8"?>
+<!DOCTYPE language SYSTEM "language.dtd">
+<language name="VHDL" version="1.00" kateversion="2.0" section="Sources" extensions="*.vdhl;*.vhd" mimetype="text/x-vhdl">
+ <highlighting>
+ <list name="keywords">
+ <item> access </item>
+ <item> after </item>
+ <item> alias </item>
+ <item> all </item>
+ <item> assert </item>
+ <item> architecture </item>
+ <item> begin </item>
+ <item> block </item>
+ <item> body </item>
+ <item> buffer </item>
+ <item> bus </item>
+ <item> case </item>
+ <item> component </item>
+ <item> configuration </item>
+ <item> constant </item>
+ <item> disconnect </item>
+ <item> downto </item>
+ <item> else </item>
+ <item> elsif </item>
+ <item> end </item>
+ <item> entity </item>
+ <item> exit </item>
+ <item> file </item>
+ <item> for </item>
+ <item> function </item>
+ <item> generate </item>
+ <item> generic </item>
+ <item> group </item>
+ <item> guarded </item>
+ <item> if </item>
+ <item> impure </item>
+ <item> in </item>
+ <item> inertial </item>
+ <item> inout </item>
+ <item> is </item>
+ <item> label </item>
+ <item> library </item>
+ <item> linkage </item>
+ <item> literal </item>
+ <item> loop </item>
+ <item> map </item>
+ <item> new </item>
+ <item> next </item>
+ <item> null </item>
+ <item> of </item>
+ <item> on </item>
+ <item> open </item>
+ <item> others </item>
+ <item> out </item>
+ <item> package </item>
+ <item> port </item>
+ <item> postponed </item>
+ <item> procedure </item>
+ <item> process </item>
+ <item> pure </item>
+ <item> range </item>
+ <item> record </item>
+ <item> register </item>
+ <item> reject </item>
+ <item> report </item>
+ <item> return </item>
+ <item> select </item>
+ <item> severity </item>
+ <item> signal </item>
+ <item> shared </item>
+ <item> subtype </item>
+ <item> then </item>
+ <item> to </item>
+ <item> transport </item>
+ <item> type </item>
+ <item> unaffected </item>
+ <item> units </item>
+ <item> until </item>
+ <item> use </item>
+ <item> variable </item>
+ <item> wait </item>
+ <item> when </item>
+ <item> while </item>
+ <item> with </item>
+ <item> note </item>
+ <item> warning </item>
+ <item> error </item>
+ <item> failure </item>
+ <item> ACCESS </item>
+ <item> AFTER </item>
+ <item> ALIAS </item>
+ <item> ALL </item>
+ <item> ASSERT </item>
+ <item> ARCHITECTURE </item>
+ <item> BEGIN </item>
+ <item> BLOCK </item>
+ <item> BODY </item>
+ <item> BUFFER </item>
+ <item> BUS </item>
+ <item> CASE </item>
+ <item> COMPONENT </item>
+ <item> CONFIGURATION </item>
+ <item> CONSTANT </item>
+ <item> DISCONNECT </item>
+ <item> DOWNTO </item>
+ <item> ELSE </item>
+ <item> ELSIF </item>
+ <item> END </item>
+ <item> ENTITY </item>
+ <item> EXIT </item>
+ <item> FILE </item>
+ <item> FOR </item>
+ <item> FUNCTION </item>
+ <item> GENERATE </item>
+ <item> GENERIC </item>
+ <item> GROUP </item>
+ <item> GUARDED </item>
+ <item> IF </item>
+ <item> IMPURE </item>
+ <item> IN </item>
+ <item> INERTIAL </item>
+ <item> INOUT </item>
+ <item> IS </item>
+ <item> LABEL </item>
+ <item> LIBRARY </item>
+ <item> LINKAGE </item>
+ <item> LITERAL </item>
+ <item> LOOP </item>
+ <item> MAP </item>
+ <item> NEW </item>
+ <item> NEXT </item>
+ <item> NULL </item>
+ <item> OF </item>
+ <item> ON </item>
+ <item> OPEN </item>
+ <item> OTHERS </item>
+ <item> OUT </item>
+ <item> PACKAGE </item>
+ <item> PORT </item>
+ <item> POSTPONED </item>
+ <item> PROCEDURE </item>
+ <item> PROCESS </item>
+ <item> PURE </item>
+ <item> RANGE </item>
+ <item> RECORD </item>
+ <item> REGISTER </item>
+ <item> REJECT </item>
+ <item> REPORT </item>
+ <item> RETURN </item>
+ <item> SELECT </item>
+ <item> SEVERITY </item>
+ <item> SIGNAL </item>
+ <item> SHARED </item>
+ <item> SUBTYPE </item>
+ <item> THEN </item>
+ <item> TO </item>
+ <item> TRANSPORT </item>
+ <item> TYPE </item>
+ <item> UNAFFECTED </item>
+ <item> UNITS </item>
+ <item> UNTIL </item>
+ <item> USE </item>
+ <item> VARIABLE </item>
+ <item> WAIT </item>
+ <item> WHEN </item>
+ <item> WHILE </item>
+ <item> WITH </item>
+ <item> NOTE </item>
+ <item> WARNING </item>
+ <item> ERROR </item>
+ <item> FAILURE </item>
+ <item> and </item>
+ <item> or </item>
+ <item> xor </item>
+ <item> not </item>
+ <item> AND </item>
+ <item> OR </item>
+ <item> XOR </item>
+ <item> NOT </item>
+ </list>
+ <list name="types">
+ <item> bit </item>
+ <item> bit_vector </item>
+ <item> character </item>
+ <item> boolean </item>
+ <item> integer </item>
+ <item> real </item>
+ <item> time </item>
+ <item> string </item>
+ <item> severity_level </item>
+ <item> positive </item>
+ <item> natural </item>
+ <item> signed </item>
+ <item> unsigned </item>
+ <item> line </item>
+ <item> text </item>
+ <item> std_logic </item>
+ <item> std_logic_vector </item>
+ <item> std_ulogic </item>
+ <item> std_ulogic_vector </item>
+ <item> qsim_state </item>
+ <item> qsim_state_vector </item>
+ <item> qsim_12state </item>
+ <item> qsim_12state_vector </item>
+ <item> qsim_strength </item>
+ <item> mux_bit </item>
+ <item> mux_vector </item>
+ <item> reg_bit </item>
+ <item> reg_vector </item>
+ <item> wor_bit </item>
+ <item> wor_vector </item>
+ <item> BIT </item>
+ <item> BIT_VECTOR </item>
+ <item> CHARACTER </item>
+ <item> BOOLEAN </item>
+ <item> INTEGER </item>
+ <item> REAL </item>
+ <item> TIME </item>
+ <item> STRING </item>
+ <item> SEVERITY_LEVEL </item>
+ <item> POSITIVE </item>
+ <item> NATURAL </item>
+ <item> SIGNED </item>
+ <item> UNSIGNED </item>
+ <item> LINE </item>
+ <item> TEXT </item>
+ <item> STD_LOGIC </item>
+ <item> STD_LOGIC_VECTOR </item>
+ <item> STD_ULOGIC </item>
+ <item> STD_ULOGIC_VECTOR </item>
+ <item> QSIM_STATE </item>
+ <item> QSIM_STATE_VECTOR </item>
+ <item> QSIM_12STATE </item>
+ <item> QSIM_12STATE_VECTOR </item>
+ <item> QSIM_STRENGTH </item>
+ <item> MUX_BIT </item>
+ <item> MUX_VECTOR </item>
+ <item> REG_BIT </item>
+ <item> REG_VECTOR </item>
+ <item> WOR_BIT </item>
+ <item> WOR_VECTOR </item>
+ </list>
+ <contexts>
+ <context name="normal" attribute="0" lineEndContext="0">
+ <keyword attribute="1" context="0" String="keywords"/>
+ <keyword attribute="2" context="0" String="types"/>
+ <Detect2Chars attribute="3" context="1" char="-"
+ char1="-" />
+ <Int attribute="4" context="0" />
+ <HlCChar attribute="5" context="0" />
+ <DetectChar attribute="6" context="2" char="&quot;" />
+ <AnyChar attribute="7" context="0" String="[&amp;&gt;&lt;=:+\-*\/|]().,;" />
+ <DetectChar attribute="8" context="3" char="'" />
+ </context>
+ <context name="comment" attribute="3" lineEndContext="0" />
+ <context name="string" attribute="6" lineEndContext="2" >
+ <DetectChar attribute="6" context="0" char="&quot;" />
+ </context>
+ <context name="attribute" attribute="8" lineEndContext="0">
+ <DetectChar attribute="8" context="4" char="&quot;" />
+ <DetectChar attribute="0" context="0" char=" " />
+ <AnyChar attribute="8" context="0" String=")=&lt;&gt;" />
+ </context>
+ <context name="quot in att" attribute="8" lineEndContext="4">
+ <DetectChar attribute="8" context="3" char="&quot;" />
+ </context>
+ </contexts>
+ <itemDatas>
+ <itemData name="Normal Text" defStyleNum="dsNormal" />
+ <itemData name="Keyword" defStyleNum="dsKeyword" />
+ <itemData name="Data Type" defStyleNum="dsDataType" />
+ <itemData name="Comment" defStyleNum="dsComment" />
+ <itemData name="Integer" defStyleNum="dsDecVal" />
+ <itemData name="Bit" defStyleNum="dsChar" />
+ <itemData name="Vector" defStyleNum="dsString" />
+ <itemData name="Operator" defStyleNum="dsOthers" />
+ <itemData name="Attribute" defStyleNum="dsBaseN" />
+ </itemDatas>
+ </highlighting>
+ <general>
+ <keywords casesensitive="1" />
+ </general>
+</language>
+
diff --git a/share/tinykate/syntax/winehq.xml b/share/tinykate/syntax/winehq.xml
new file mode 100644
index 0000000..3306de4
--- a/dev/null
+++ b/share/tinykate/syntax/winehq.xml
@@ -0,0 +1,35 @@
+<?xml version="1.0" encoding="UTF-8"?>
+<!DOCTYPE language SYSTEM "language.dtd">
+<language name="WINE Config" section="Other" extensions="*.reg" mimetype="">
+ <highlighting>
+ <contexts>
+ <context attribute="0" lineEndContext="0" name="Normal">
+ <RegExpr String="WINE REGISTRY Version.*$" attribute="7" context="0" />
+ <RegExpr String="^#\s*&lt;\s*wineconf\s*&gt;" attribute="7" context="0" />
+ <RegExpr String="^#\s*&lt;\s*\/\s*wineconf\s*&gt;" attribute="7" context="0" />
+ <RegExpr String="^\[.*\]$" attribute="Section" context="0"/>
+ <RegExpr String=";.*$" attribute="4" context ="0"/>
+
+ <RegExpr String="\s*&quot;\s*[a-zA-Z0-9_.:*]*\s*&quot;" attribute="2" context="0" />
+
+ <DetectChar char="=" attribute="0" context="1"/>
+ </context>
+
+ <context attribute="0" lineEndContext="0" name="Value">
+ <RegExpr String="\s*&quot;.*&quot;" attribute="3" context="1" />
+ <RegExpr String=";.*$" attribute="4" context="1"/>
+ </context>
+
+ </contexts>
+ <itemDatas>
+ <itemData name="Normal Text" defStyleNum="dsNormal"/>
+ <itemData name="Section" defStyleNum="dsKeyword"/>
+ <itemData name="Key" defStyleNum="dsDataType"/>
+ <itemData name="Value" defStyleNum="dsNormal" color="#0000FF" selColor="#ffffff" italic="0" bold="1"/>
+ <itemData name="Comment" defStyleNum="dsComment"/>
+ <itemData name="ValueFilesystem1" defStyleNum="dsNormal" color="#00AA00" selColor="#ffffff" italic="0" bold="1"/>
+ <itemData name="ValueFilesystem2" defStyleNum="dsNormal" color="#FF0000" selColor="#ffffff" italic="0" bold="1"/>
+ <itemData name="RegistryBeginEnd" defStyleNum="dsNormal" color="#00AAAA" selColor="#ffffff" italic="0" bold="1"/>
+ </itemDatas>
+ </highlighting>
+</language>
diff --git a/share/tinykate/syntax/xml.xml b/share/tinykate/syntax/xml.xml
new file mode 100644
index 0000000..1167432
--- a/dev/null
+++ b/share/tinykate/syntax/xml.xml
@@ -0,0 +1,47 @@
+<?xml version="1.0" encoding="UTF-8"?>
+<!DOCTYPE language SYSTEM "language.dtd">
+<language name="XML" section="Markup" extensions="*.docbook;*.xml;*.rc" mimetype="text/xml;text/book">
+ <highlighting>
+ <contexts>
+ <context attribute="0" lineEndContext="#stay">
+ <StringDetect attribute="Comment" context="4" String="&lt;!--"/>
+ <RegExpr attribute="1" context="1" String="&lt;\s*\/?\s*[a-zA-Z_:][a-zA-Z0-9._:-]*" />
+ </context>
+
+ <context attribute="2" lineEndContext="#stay">
+ <Detect2Chars attribute="1" context="#push" char="/" char1="&gt;" />
+ <DetectChar attribute="1" context="#push" char="&gt;" />
+ <RegExpr attribute="0" context="2" String="\s*=\s*" />
+ </context>
+
+ <context attribute="3" lineEndContext="#stay">
+ <RegExpr attribute="3" context="#push" String="[A-Za-z0-9.-]*" />
+ <DetectChar attribute="3" context="3" char="&quot;" />
+ </context>
+
+ <context attribute="3" lineEndContext="#stay">
+ <DetectChar attribute="3" context="#pop#pop" char="&quot;" />
+ </context>
+
+ <context attribute="Comment" lineEndContext="#stay">
+ <String---Detect attribute="Comment" context="4" String="&lt;!--"/>
+ <StringDetect attribute="Comment" context="#pop" String="--&gt;"/>
+ </context>
+
+ </contexts>
+ <itemDatas>
+ <itemData name="Normal Text" defStyleNum="dsNormal"/>
+ <itemData name="Keyword" defStyleNum="dsKeyword"/>
+ <itemData name="Identifier" defStyleNum="dsOthers"/>
+ <itemData name="Types" defStyleNum="dsDataType"/>
+ <itemData name="String" defStyleNum="dsString"/>
+ <itemData name="Comment" defStyleNum="dsComment"/>
+ </itemDatas>
+ </highlighting>
+ <general>
+ <comments>
+ <comment name="multiLine" start="&lt;!--" end="//--&gt;" />
+ </comments>
+ <keywords casesensitive="0" />
+ </general>
+</language>
diff --git a/share/tinykate/syntax/xmldebug.xml b/share/tinykate/syntax/xmldebug.xml
new file mode 100644
index 0000000..4177856
--- a/dev/null
+++ b/share/tinykate/syntax/xmldebug.xml
@@ -0,0 +1,592 @@
+<?xml version="1.0" encoding="UTF-8"?>
+<!DOCTYPE language SYSTEM "language.dtd">
+<language name="XML (Debug)" section="Markup" extensions="" mimetype="">
+ <highlighting>
+ <list name="AttType">
+ <item>CDATA</item>
+ <item>ID</item>
+ <item>IDREF</item>
+ <item>IDREFS</item>
+ <item>ENTITY</item>
+ <item>ENTITIES</item>
+ <item>NMTOKEN</item>
+ <item>NMTOKENS</item>
+ </list>
+ <contexts>
+
+ <context name="0:prolog" attribute="Error" lineEndContext="0">
+ <RegExpr attribute="Doctype Declaration" context="1" String="&lt;\?xml(\s+|$)"/>
+ </context>
+
+ <context name="1:XMLDecl Version" attribute="Error" lineEndContext="#stay">
+ <RegExpr attribute="Attribute" context="2" String="\s*version\s*"/>
+ <RegExpr attribute="Doctype Declaration" String="\s+"/>
+ </context>
+
+ <context name="2:XMLDecl Version Eq" attribute="Error" lineEndContext="5">
+ <RegExpr attribute="Attribute" context="3" String="\s*=\s*"/>
+ <RegExpr attribute="Attribute" context="#stay" String="\s+"/>
+ </context>
+
+ <context name="3:XMLDecl Version" attribute="Error" lineEndContext="#stay">
+ <RegExpr attribute="Value" context="4" String="\s*(&quot;[A-Za-z0-9:._-]*&quot;|'[A-Za-z0-9:._-]*')(?!e)\s*"/>
+ <RegExpr attribute="Attribute" context="#stay" String="\s+"/>
+ </context>
+
+ <context name="4:XMLDecl Encoding" attribute="Error" lineEndContext="#stay">
+ <RegExpr attribute="Attribute" context="5" String="\s*encoding\s*"/>
+ <RegExpr attribute="Doctype Declaration" context="#stay" String="\s+"/>
+ <RegExpr attribute="Doctype Declaration" context="11" String="\?>"/>
+ </context>
+
+ <context name="5:XMLDecl Encoding Eq" attribute="Error" lineEndContext="#stay">
+ <RegExpr attribute="Attribute" context="6" String="\s*=\s*"/>
+ <RegExpr attribute="Attribute" context="#stay" String="\s+"/>
+ </context>
+
+ <context name="6:XMLDecl Encoding" attribute="Error" lineEndContext="#stay">
+ <RegExpr attribute="Value" context="7" String="\s*(&quot;[A-Za-z][A-Za-z0-9._-]*&quot;|'[A-Za-z][A-Za-z0-9._-]*')(?!s)\s*"/>
+ <RegExpr attribute="Attribute" context="#stay" String="\s+"/>
+ </context>
+
+ <context name="7:XMLDecl Standalone" attribute="Error" lineEndContext="#stay">
+ <RegExpr attribute="Attribute" context="8" String="\s*standalone\s*"/>
+ <RegExpr attribute="Doctype Declaration" context="#stay" String="\s+"/>
+ <RegExpr attribute="Doctype Declaration" context="11" String="\?>"/>
+ </context>
+
+ <context name="8:XMLDecl Standalone Eq" attribute="Error" lineEndContext="#stay">
+ <RegExpr attribute="Attribute" context="9" String="\s*=\s*"/>
+ <RegExpr attribute="Attribute" context="#stay" String="\s+"/>
+ </context>
+
+ <context name="9:XMLDecl Standalone" attribute="Error" lineEndContext="#stay">
+ <RegExpr attribute="Value" context="10" String="\s*&quot;(yes|no)&quot;|'(yes|no)'\s*"/>
+ <RegExpr attribute="Attribute" context="#stay" String="\s+"/>
+ </context>
+
+ <context name="10:XMLDecl Standalone" attribute="Error" lineEndContext="#stay">
+ <RegExpr attribute="Doctype Declaration" context="#stay" String="\s+"/>
+ <RegExpr attribute="Doctype Declaration" context="11" String="\?>"/>
+ </context>
+
+ <context name="11:Misc after XMLDecl" attribute="Error" lineEndContext="#stay">
+ <RegExpr attribute="Normal Text" context="#stay" String="\s+"/>
+ <RegExpr attribute="Comment" context="12" String="\s*&lt;!--\s*"/>
+ <RegExpr attribute="Processing Instruction" context="13" String="\s*&lt;\?xml-stylesheet(\s+|$)"/>
+ <RegExpr attribute="Error" context="13" String="\s*&lt;\??[xX][mM][lL]"/>
+ <RegExpr attribute="Processing Instruction" context="13" String="\s*&lt;\?[a-zA-Z_][a-zA-Z0-9_-]*(:[a-zA-Z0-9_-]*)?(\s+|$)"/>
+ <RegExpr attribute="Doctype Declaration" context="14" String="&lt;!DOCTYPE(\s+|$)"/>
+ <RegExpr attribute="Error" context="80" String="&lt;[xX][mM][lL](\w|[_.-])*(:(\w|[_.-])+)?"/>
+ <RegExpr attribute="Normal Tag" context="80" String="&lt;(?![&#x0660;-&#x0669;&#x06F0;-&#x06F9;&#x0966;-&#x096F;&#x09E6;-&#x09EF;&#x0A66;-&#x0A6F;&#x0AE6;-&#x0AEF;&#x0B66;-&#x0B6F;&#x0BE7;-&#x0BEF;&#x0C66;-&#x0C6F;&#x0CE6;-&#x0CEF;&#x0D66;-&#x0D6F;&#x0E50;-&#x0E59;&#x0ED0;-&#x0ED9;&#x0F20;-&#x0F29;]|\d)(\w|_)(\w|[_.-])*(:(\w|[_.-])+)?"/>
+ <RegExpr attribute="Error" context="80" String="&lt;(?![&#x0660;-&#x0669;&#x06F0;-&#x06F9;&#x0966;-&#x096F;&#x09E6;-&#x09EF;&#x0A66;-&#x0A6F;&#x0AE6;-&#x0AEF;&#x0B66;-&#x0B6F;&#x0BE7;-&#x0BEF;&#x0C66;-&#x0C6F;&#x0CE6;-&#x0CEF;&#x0D66;-&#x0D6F;&#x0E50;-&#x0E59;&#x0ED0;-&#x0ED9;&#x0F20;-&#x0F29;]|\d)(\w|[:_])(\w|[:_.-])*"/>
+ <RegExpr attribute="Error" context="85" String="&lt;/[xX][mM][lL](\w|[_.-])*(:(\w|[_.-])+)?"/>
+ <RegExpr attribute="Normal Tag" context="85" String="&lt;/(?![&#x0660;-&#x0669;&#x06F0;-&#x06F9;&#x0966;-&#x096F;&#x09E6;-&#x09EF;&#x0A66;-&#x0A6F;&#x0AE6;-&#x0AEF;&#x0B66;-&#x0B6F;&#x0BE7;-&#x0BEF;&#x0C66;-&#x0C6F;&#x0CE6;-&#x0CEF;&#x0D66;-&#x0D6F;&#x0E50;-&#x0E59;&#x0ED0;-&#x0ED9;&#x0F20;-&#x0F29;]|\d)(\w|_)(\w|[_.-])*(:(\w|[_.-])+)?"/>
+ <RegExpr attribute="Error" context="85" String="&lt;/(?![&#x0660;-&#x0669;&#x06F0;-&#x06F9;&#x0966;-&#x096F;&#x09E6;-&#x09EF;&#x0A66;-&#x0A6F;&#x0AE6;-&#x0AEF;&#x0B66;-&#x0B6F;&#x0BE7;-&#x0BEF;&#x0C66;-&#x0C6F;&#x0CE6;-&#x0CEF;&#x0D66;-&#x0D6F;&#x0E50;-&#x0E59;&#x0ED0;-&#x0ED9;&#x0F20;-&#x0F29;]|\d)(\w|[:_])(\w|[:_.-])*"/>
+ <RegExpr attribute="Entity" context="79" String="&amp;(?![&#x0660;-&#x0669;&#x06F0;-&#x06F9;&#x0966;-&#x096F;&#x09E6;-&#x09EF;&#x0A66;-&#x0A6F;&#x0AE6;-&#x0AEF;&#x0B66;-&#x0B6F;&#x0BE7;-&#x0BEF;&#x0C66;-&#x0C6F;&#x0CE6;-&#x0CEF;&#x0D66;-&#x0D6F;&#x0E50;-&#x0E59;&#x0ED0;-&#x0ED9;&#x0F20;-&#x0F29;]|\d)(\w|[_:])(\w|[_:.-])*;"/>
+ <RegExpr attribute="Entity" context="79" String="&amp;#(x[0-9a-fA-F]+|[0-9]+);"/>
+ <RegExpr attribute="Entity" context="86" String="&lt;!\[CDATA\["/>
+ <RegExpr attribute="Comment" context="87" String="&lt;!--"/>
+ <RegExpr attribute="Normal Tag" context="88" String="&lt;\?xml-stylesheet(\s|$)"/>
+ <RegExpr attribute="Error" context="88" String="&lt;\?[xX][mM][lL](\w|[_.-])*(:(\w|[_.-])+)?"/>
+ <RegExpr attribute="Normal Tag" context="88" String="&lt;\?(?![&#x0660;-&#x0669;&#x06F0;-&#x06F9;&#x0966;-&#x096F;&#x09E6;-&#x09EF;&#x0A66;-&#x0A6F;&#x0AE6;-&#x0AEF;&#x0B66;-&#x0B6F;&#x0BE7;-&#x0BEF;&#x0C66;-&#x0C6F;&#x0CE6;-&#x0CEF;&#x0D66;-&#x0D6F;&#x0E50;-&#x0E59;&#x0ED0;-&#x0ED9;&#x0F20;-&#x0F29;]|\d)(\w|_)(\w|[_.-])*(:(\w|[_.-])+)?"/>
+ <RegExpr attribute="Error" context="88" String="&lt;\?(?![&#x0660;-&#x0669;&#x06F0;-&#x06F9;&#x0966;-&#x096F;&#x09E6;-&#x09EF;&#x0A66;-&#x0A6F;&#x0AE6;-&#x0AEF;&#x0B66;-&#x0B6F;&#x0BE7;-&#x0BEF;&#x0C66;-&#x0C6F;&#x0CE6;-&#x0CEF;&#x0D66;-&#x0D6F;&#x0E50;-&#x0E59;&#x0ED0;-&#x0ED9;&#x0F20;-&#x0F29;]|\d)(\w|[:_])(\w|[:_.-])*"/>
+ <DetectChar attribute="Error" context="79" char="&lt;"/>
+ <DetectChar attribute="Error" context="79" char="&amp;"/>
+ <RegExpr attribute="Error" context="79" String="\]\]>"/>
+ </context>
+
+ <context name="12:Comment after XMLDecl" attribute="Comment" lineEndContext="#stay">
+ <RegExpr attribute="Error" context="#pop" String="--->"/>
+ <RegExpr attribute="Comment" context="#pop" String="-->"/>
+ <Detect2Chars attribute="Error" context="#stay" char="-" char1="-"/>
+ </context>
+
+ <context name="13:PI after XMLDecl" attribute="Processing Instruction Body" lineEndContext="#stay">
+ <Detect2Chars attribute="Processing Instruction" context="#pop" char="?" char1="&gt;"/>
+ </context>
+
+ <context name="14:Doctype Decl Name" attribute="Error" lineEndContext="#stay">
+ <RegExpr attribute="Doctype Declaration" context="15" String="(?![&#x0660;-&#x0669;&#x06F0;-&#x06F9;&#x0966;-&#x096F;&#x09E6;-&#x09EF;&#x0A66;-&#x0A6F;&#x0AE6;-&#x0AEF;&#x0B66;-&#x0B6F;&#x0BE7;-&#x0BEF;&#x0C66;-&#x0C6F;&#x0CE6;-&#x0CEF;&#x0D66;-&#x0D6F;&#x0E50;-&#x0E59;&#x0ED0;-&#x0ED9;&#x0F20;-&#x0F29;]|\d)(\w|[_:])(\w|[_:.-])*(\s+|$)"/>
+ <RegExpr attribute="Doctype Declaration" context="#stay" String="\s+"/>
+ </context>
+
+ <context name="15:Doctype Decl ExternalID" attribute="Error" lineEndContext="#stay">
+ <RegExpr attribute="Doctype Declaration" context="16" String="PUBLIC(\s+|$)"/>
+ <RegExpr attribute="Doctype Declaration" context="19" String="SYSTEM(\s+|$)"/>
+ <DetectChar attribute="Doctype Declaration" context="23" char="["/>
+ <DetectChar attribute="Doctype Declaration" context="?" char=">"/>
+ <RegExpr attribute="Doctype Declaration" context="#stay" String="\s+"/>
+ </context>
+
+ <context name="16:Doctype Decl PublicID" attribute="Error" lineEndContext="#stay">
+ <DetectChar attribute="Value" context="17" char="&quot;"/>
+ <DetectChar attribute="Value" context="18" char="'"/>
+ <RegExpr attribute="Doctype Declaration" context="#stay" String="\s+"/>
+ </context>
+
+ <context name="17:Doctype Decl PublicID qq" attribute="Error" lineEndContext="#stay">
+ <DetectChar attribute="Value" context="19" char="&quot;(\s+|$)"/>
+ <RegExpr attribute="Value" context="#stay" String="[&#x20;&#xD;&#xA;a-zA-Z0-9'()+,./:=?;!*#@$_%-]"/>
+ </context>
+
+ <context name="18:Doctype Decl PublicID q" attribute="Value" lineEndContext="#stay">
+ <DetectChar attribute="Value" context="19" char="'(\s+|$)"/>
+ <RegExpr attribute="Value" context="#stay" String="[&#x20;&#xD;&#xA;a-zA-Z0-9()+,./:=?;!*#@$_%-]"/>
+ </context>
+
+ <context name="19:Doctype Decl SystemID" attribute="Error" lineEndContext="#stay">
+ <DetectChar attribute="Value" context="20" char="&quot;"/>
+ <DetectChar attribute="Value" context="21" char="'"/>
+ <RegExpr attribute="Doctype Declaration" context="#stay" String="\s+"/>
+ </context>
+
+ <context name="20:Doctype Decl SystemID qq" attribute="Value" lineEndContext="#stay">
+ <DetectChar attribute="Value" context="22" char="&quot;"/>
+ </context>
+
+ <context name="21:Doctype Decl SystemID q" attribute="Value" lineEndContext="#stay">
+ <DetectChar attribute="Value" context="22" char="'"/>
+ </context>
+
+ <context name="22:Doctype Decl IS or end" attribute="Error" lineEndContext="#stay">
+ <DetectChar attribute="Doctype Declaration" context="23" char="["/>
+ <DetectChar attribute="Doctype Declaration" context="79" char=">"/>
+ <RegExpr attribute="Doctype Declaration" context="#stay" String="\s+"/>
+ </context>
+
+ <context name="23:Doctype Decl IS" attribute="Error" lineEndContext="#stay">
+ <RegExpr attribute="Entity" context="#stay" String="%(?![&#x0660;-&#x0669;&#x06F0;-&#x06F9;&#x0966;-&#x096F;&#x09E6;-&#x09EF;&#x0A66;-&#x0A6F;&#x0AE6;-&#x0AEF;&#x0B66;-&#x0B6F;&#x0BE7;-&#x0BEF;&#x0C66;-&#x0C6F;&#x0CE6;-&#x0CEF;&#x0D66;-&#x0D6F;&#x0E50;-&#x0E59;&#x0ED0;-&#x0ED9;&#x0F20;-&#x0F29;]|\d)(\w|[_:])(\w|[_:.-])*;"/>
+ <RegExpr attribute="Doctype Declaration" context="#stay" String="\s+"/>
+ <RegExpr attribute="Doctype Declaration" context="24" String="&lt;!ELEMENT(\s+|$)"/>
+ <RegExpr attribute="Doctype Declaration" context="38" String="&lt;!ATTLIST(\s+|$)"/>
+ <RegExpr attribute="Doctype Declaration" context="50" String="&lt;!ENTITY(\s+|$)"/>
+ <RegExpr attribute="Doctype Declaration" context="71" String="&lt;!NOTATION(\s+|$)"/>
+ <RegExpr attribute="Comment" context="77" String="\s*&lt;!--\s*"/>
+ <RegExpr attribute="Processing Instruction" context="78" String="\s*&lt;\?xml-stylesheet(\s+|$)"/>
+ <RegExpr attribute="Error" context="78" String="\s*&lt;\??[xX][mM][lL]"/>
+ <RegExpr attribute="Processing Instruction" context="78" String="\s*&lt;\?[a-zA-Z_][a-zA-Z0-9_-]*(:[a-zA-Z0-9_-]*)?(\s+|$)"/>
+ <Detect2Chars attribute="Doctype Declaration" context="79" char="]" char1=">"/>
+ </context>
+
+ <context name="24:elementdecl" attribute="Error" lineEndContext="#stay">
+ <RegExpr attribute="Normal Tag" context="25" String="(?![&#x0660;-&#x0669;&#x06F0;-&#x06F9;&#x0966;-&#x096F;&#x09E6;-&#x09EF;&#x0A66;-&#x0A6F;&#x0AE6;-&#x0AEF;&#x0B66;-&#x0B6F;&#x0BE7;-&#x0BEF;&#x0C66;-&#x0C6F;&#x0CE6;-&#x0CEF;&#x0D66;-&#x0D6F;&#x0E50;-&#x0E59;&#x0ED0;-&#x0ED9;&#x0F20;-&#x0F29;]|\d)(\w|[_:])(\w|[_:.-])*"/>
+ <RegExpr attribute="Doctype Declaration" context="#stay" String="\s+"/>
+ </context>
+
+ <context name="25:contentspec" attribute="Error" lineEndContext="#stay">
+ <RegExpr attribute="Attribute" context="37" String="(EMPTY|ANY)"/>
+ <DetectChar attribute="Attribute" context="26" char="("/>
+ <RegExpr attribute="Doctype Declaration" context="#stay" String="\s+"/>
+ </context>
+
+ <context name="26:MixedOrChildren" attribute="Error" lineEndContext="#stay">
+ <RegExpr attribute="Attribute" context="27" String="#PCDATA"/>
+ <RegExpr attribute="Doctype Declaration" context="#stay" String="\s+"/>
+ <DetectChar attribute="Doctype Declaration" context="30" char="("/>
+ <RegExpr attribute="Value" context="30" String="(?![&#x0660;-&#x0669;&#x06F0;-&#x06F9;&#x0966;-&#x096F;&#x09E6;-&#x09EF;&#x0A66;-&#x0A6F;&#x0AE6;-&#x0AEF;&#x0B66;-&#x0B6F;&#x0BE7;-&#x0BEF;&#x0C66;-&#x0C6F;&#x0CE6;-&#x0CEF;&#x0D66;-&#x0D6F;&#x0E50;-&#x0E59;&#x0ED0;-&#x0ED9;&#x0F20;-&#x0F29;]|\d)(\w|[_:])(\w|[_:.-])*[\?\*\+]?"/>
+ </context>
+
+ <context name="27:MixedShort" attribute="Error" lineEndContext="#stay">
+ <DetectChar attribute="Doctype Declaration" context="28" char="|"/>
+ <RegExpr attribute="Doctype Declaration" context="#stay" String="\s+"/>
+ <DetectChar attribute="Doctype Declaration" context="37" char=")"/>
+ </context>
+
+ <context name="28:MixedLong" attribute="Error" lineEndContext="#stay">
+ <RegExpr attribute="Value" context="29" String="(?![&#x0660;-&#x0669;&#x06F0;-&#x06F9;&#x0966;-&#x096F;&#x09E6;-&#x09EF;&#x0A66;-&#x0A6F;&#x0AE6;-&#x0AEF;&#x0B66;-&#x0B6F;&#x0BE7;-&#x0BEF;&#x0C66;-&#x0C6F;&#x0CE6;-&#x0CEF;&#x0D66;-&#x0D6F;&#x0E50;-&#x0E59;&#x0ED0;-&#x0ED9;&#x0F20;-&#x0F29;]|\d)(\w|[_:])(\w|[_:.-])*"/>
+ <RegExpr attribute="Doctype Declaration" context="#stay" String="\s+"/>
+ </context>
+
+ <context name="29:MixedLong endOrContinue" attribute="Error" lineEndContext="#stay">
+ <DetectChar attribute="Doctype Declaration" context="#pop" char="|"/>
+ <RegExpr attribute="Doctype Declaration" context="#pop#pop" String="\s+"/>
+ <Detect2Chars attribute="Doctype Declaration" context="37" char=")" char1="*"/>
+ </context>
+
+ <context name="30:children unknown" attribute="Error" lineEndContext="#stay">
+ <DetectChar attribute="Doctype Declaration" context="33" char="|"/>
+ <DetectChar attribute="Doctype Declaration" context="35" char=","/>
+ <RegExpr attribute="Doctype Declaration" context="#stay" String="\s+"/>
+ <RegExpr attribute="Doctype Declaration" context="31" String="\)[\?\*\+]?"/>
+ </context>
+
+ <context name="31:children unknownOrEnd" attribute="Error" lineEndContext="#stay">
+ <DetectChar attribute="Doctype Declaration" context="33" char="|"/>
+ <DetectChar attribute="Doctype Declaration" context="35" char=","/>
+ <RegExpr attribute="Doctype Declaration" context="#stay" String="\s+"/>
+ <RegExpr attribute="Doctype Declaration" context="#stay" String="\)[\?\*\+]?"/>
+ <DetectChar attribute="Doctype Declaration" context="23" char=">"/>
+ </context>
+
+ <context name="32:children unknownName" attribute="Error" lineEndContext="#stay">
+ <RegExpr attribute="Value" context="30" String="(?![&#x0660;-&#x0669;&#x06F0;-&#x06F9;&#x0966;-&#x096F;&#x09E6;-&#x09EF;&#x0A66;-&#x0A6F;&#x0AE6;-&#x0AEF;&#x0B66;-&#x0B6F;&#x0BE7;-&#x0BEF;&#x0C66;-&#x0C6F;&#x0CE6;-&#x0CEF;&#x0D66;-&#x0D6F;&#x0E50;-&#x0E59;&#x0ED0;-&#x0ED9;&#x0F20;-&#x0F29;]|\d)(\w|[_:])(\w|[_:.-])*[\?\*\+]?"/>
+ <DetectChar attribute="Doctype Declaration" context="#stay" char="("/>
+ <RegExpr attribute="Doctype Declaration" context="#stay" String="\s+"/>
+ </context>
+
+ <context name="33:children choice" attribute="Error" lineEndContext="#stay">
+ <RegExpr attribute="Value" context="34" String="(?![&#x0660;-&#x0669;&#x06F0;-&#x06F9;&#x0966;-&#x096F;&#x09E6;-&#x09EF;&#x0A66;-&#x0A6F;&#x0AE6;-&#x0AEF;&#x0B66;-&#x0B6F;&#x0BE7;-&#x0BEF;&#x0C66;-&#x0C6F;&#x0CE6;-&#x0CEF;&#x0D66;-&#x0D6F;&#x0E50;-&#x0E59;&#x0ED0;-&#x0ED9;&#x0F20;-&#x0F29;]|\d)(\w|[_:])(\w|[_:.-])*[\?\*\+]?"/>
+ <DetectChar attribute="Doctype Declaration" context="32" char="("/>
+ <RegExpr attribute="Doctype Declaration" context="#stay" String="\s+"/>
+ </context>
+
+ <context name="34:children choiceOrEnd" attribute="Error" lineEndContext="#stay">
+ <DetectChar attribute="Doctype Declaration" context="#pop" char="|"/>
+ <RegExpr attribute="Doctype Declaration" context="#stay" String="\s+"/>
+ <RegExpr attribute="Doctype Declaration" context="31" String="\)[\?\*\+]?"/>
+ </context>
+
+ <context name="35:children seq" attribute="Error" lineEndContext="#stay">
+ <RegExpr attribute="Value" context="36" String="(?![&#x0660;-&#x0669;&#x06F0;-&#x06F9;&#x0966;-&#x096F;&#x09E6;-&#x09EF;&#x0A66;-&#x0A6F;&#x0AE6;-&#x0AEF;&#x0B66;-&#x0B6F;&#x0BE7;-&#x0BEF;&#x0C66;-&#x0C6F;&#x0CE6;-&#x0CEF;&#x0D66;-&#x0D6F;&#x0E50;-&#x0E59;&#x0ED0;-&#x0ED9;&#x0F20;-&#x0F29;]|\d)(\w|[_:])(\w|[_:.-])*[\?\*\+]?"/>
+ <DetectChar attribute="Doctype Declaration" context="32" char="("/>
+ <RegExpr attribute="Doctype Declaration" context="#stay" String="\s+"/>
+ </context>
+
+ <context name="36:children seqOrEnd" attribute="Error" lineEndContext="#stay">
+ <DetectChar attribute="Doctype Declaration" context="#pop" char=","/>
+ <RegExpr attribute="Doctype Declaration" context="#stay" String="\s+"/>
+ <RegExpr attribute="Doctype Declaration" context="31" String="\)[\?\*\+]?"/>
+ </context>
+
+ <context name="37:element end" attribute="Error" lineEndContext="#stay">
+ <DetectChar attribute="Doctype Declaration" context="23" char=">"/>
+ <RegExpr attribute="Doctype Declaration" context="#stay" String="\s+"/>
+ </context>
+
+ <context name="38:AttlistDecl" attribute="Error" lineEndContext="#stay">
+ <RegExpr attribute="Normal Tag" context="39" String="(?![&#x0660;-&#x0669;&#x06F0;-&#x06F9;&#x0966;-&#x096F;&#x09E6;-&#x09EF;&#x0A66;-&#x0A6F;&#x0AE6;-&#x0AEF;&#x0B66;-&#x0B6F;&#x0BE7;-&#x0BEF;&#x0C66;-&#x0C6F;&#x0CE6;-&#x0CEF;&#x0D66;-&#x0D6F;&#x0E50;-&#x0E59;&#x0ED0;-&#x0ED9;&#x0F20;-&#x0F29;]|\d)(\w|[_:])(\w|[_:.-])*(\s+|$)"/>
+ <RegExpr attribute="Normal Tag" context="23" String="(?![&#x0660;-&#x0669;&#x06F0;-&#x06F9;&#x0966;-&#x096F;&#x09E6;-&#x09EF;&#x0A66;-&#x0A6F;&#x0AE6;-&#x0AEF;&#x0B66;-&#x0B6F;&#x0BE7;-&#x0BEF;&#x0C66;-&#x0C6F;&#x0CE6;-&#x0CEF;&#x0D66;-&#x0D6F;&#x0E50;-&#x0E59;&#x0ED0;-&#x0ED9;&#x0F20;-&#x0F29;]|\d)(\w|[_:])(\w|[_:.-])*>"/>
+ <RegExpr attribute="Doctype Declaration" context="#stay" String="\s+"/>
+ </context>
+
+ <context name="39:AttDef" attribute="Error" lineEndContext="#stay">
+ <RegExpr attribute="Attribute" context="40" String="(?![&#x0660;-&#x0669;&#x06F0;-&#x06F9;&#x0966;-&#x096F;&#x09E6;-&#x09EF;&#x0A66;-&#x0A6F;&#x0AE6;-&#x0AEF;&#x0B66;-&#x0B6F;&#x0BE7;-&#x0BEF;&#x0C66;-&#x0C6F;&#x0CE6;-&#x0CEF;&#x0D66;-&#x0D6F;&#x0E50;-&#x0E59;&#x0ED0;-&#x0ED9;&#x0F20;-&#x0F29;]|\d)(\w|[_:])(\w|[_:.-])*(\s+|$)"/>
+ <RegExpr attribute="Doctype Declaration" context="#stay" String="\s+"/>
+ <DetectChar attribute="Doctype Declaration" context="23" char=">"/>
+ </context>
+
+ <context name="40:AttType" attribute="Error" lineEndContext="#stay">
+ <keyword attribute="Doctype Declaration" context="46" String="AttType"/>
+ <RegExpr attribute="Doctype Declaration" context="41" String="NOTATION(\s+|$)"/>
+ <DetectChar attribute="Doctype Declaration" context="42" char="("/>
+ <RegExpr attribute="Doctype Declaration" context="#pop" String="\s+"/>
+ </context>
+
+ <context name="41:NotationStart" attribute="Error" lineEndContext="#stay">
+ <DetectChar attribute="Doctype Declaration" context="42" char="("/>
+ <RegExpr attribute="Doctype Declaration" context="#pop#pop" String="\s+"/>
+ </context>
+
+ <context name="42:Notation" attribute="Error" lineEndContext="#stay">
+ <RegExpr attribute="Value" context="43" String="(?![&#x0660;-&#x0669;&#x06F0;-&#x06F9;&#x0966;-&#x096F;&#x09E6;-&#x09EF;&#x0A66;-&#x0A6F;&#x0AE6;-&#x0AEF;&#x0B66;-&#x0B6F;&#x0BE7;-&#x0BEF;&#x0C66;-&#x0C6F;&#x0CE6;-&#x0CEF;&#x0D66;-&#x0D6F;&#x0E50;-&#x0E59;&#x0ED0;-&#x0ED9;&#x0F20;-&#x0F29;]|\d)(\w|[_:])(\w|[_:.-])*"/>
+ <RegExpr attribute="Doctype Declaration" context="#stay" String="\s+"/>
+ </context>
+
+ <context name="43:Notation or End" attribute="Error" lineEndContext="#stay">
+ <DetectChar attribute="Doctype Declaration" context="#pop" char="|"/>
+ <RegExpr attribute="Doctype Declaration" context="46" String="\)(\s+|$)"/>
+ <RegExpr attribute="Doctype Declaration" context="#stay" String="\s+"/>
+ </context>
+
+ <context name="44:Enumeration" attribute="Error" lineEndContext="#stay">
+ <RegExpr attribute="Value" context="#stay" String="(\w|[_:.-])+"/>
+ <RegExpr attribute="Doctype Declaration" context="#stay" String="\s+"/>
+ </context>
+
+ <context name="45:Enumeration or End" attribute="Error" lineEndContext="#stay">
+ <DetectChar attribute="Doctype Declaration" context="44" char="|"/>
+ <RegExpr attribute="Doctype Declaration" context="46" String="\)(\s+|$)"/>
+ <RegExpr attribute="Doctype Declaration" context="#stay" String="\s+"/>
+ </context>
+
+ <context name="46:DefaultDecl" attribute="Error" lineEndContext="#stay">
+ <RegExpr attribute="Attribute" context="39" String="(#REQUIRED|#IMPLIED)(\s+|$)"/>
+ <RegExpr attribute="Attribute" context="47" String="#FIXED(\s+|$)"/>
+ <RegExpr attribute="Doctype Declaration" context="#stay" String="\s+"/>
+ <RegExpr attribute="Value" context="48" String="&quot;"/>
+ <RegExpr attribute="Value" context="49" String="'"/>
+ </context>
+
+ <context name="47:DefaultDecl AttValue" attribute="Error" lineEndContext="#stay">
+ <RegExpr attribute="Value" context="48" String="&quot;"/>
+ <RegExpr attribute="Value" context="49" String="'"/>
+ </context>
+
+ <context name="48:DefaultDecl AttValue qq" attribute="Value" lineEndContext="#stay">
+ <RegExpr attribute="Entity" context="#stay" String="&amp;(?![&#x0660;-&#x0669;&#x06F0;-&#x06F9;&#x0966;-&#x096F;&#x09E6;-&#x09EF;&#x0A66;-&#x0A6F;&#x0AE6;-&#x0AEF;&#x0B66;-&#x0B6F;&#x0BE7;-&#x0BEF;&#x0C66;-&#x0C6F;&#x0CE6;-&#x0CEF;&#x0D66;-&#x0D6F;&#x0E50;-&#x0E59;&#x0ED0;-&#x0ED9;&#x0F20;-&#x0F29;]|\d)(\w|[_:])(\w|[_:.-])*;"/>
+ <RegExpr attribute="Entity" context="#stay" String="&amp;#(x[0-9a-fA-F]+|[0-9]+);"/>
+ <DetectChar attribute="Error" context="#stay" char="&amp;"/>
+ <DetectChar attribute="Error" context="#stay" char="&lt;"/>
+ <DetectChar attribute="Value" context="39" char="&quot;"/>
+ </context>
+
+ <context name="49:DefaultDecl AttValue q" attribute="Value" lineEndContext="#stay">
+ <RegExpr attribute="Entity" context="#stay" String="&amp;(?![&#x0660;-&#x0669;&#x06F0;-&#x06F9;&#x0966;-&#x096F;&#x09E6;-&#x09EF;&#x0A66;-&#x0A6F;&#x0AE6;-&#x0AEF;&#x0B66;-&#x0B6F;&#x0BE7;-&#x0BEF;&#x0C66;-&#x0C6F;&#x0CE6;-&#x0CEF;&#x0D66;-&#x0D6F;&#x0E50;-&#x0E59;&#x0ED0;-&#x0ED9;&#x0F20;-&#x0F29;]|\d)(\w|[_:])(\w|[_:.-])*;"/>
+ <RegExpr attribute="Entity" context="#stay" String="&amp;#(x[0-9a-fA-F]+|[0-9]+);"/>
+ <DetectChar attribute="Error" context="#stay" char="&amp;"/>
+ <DetectChar attribute="Error" context="#stay" char="&lt;"/>
+ <DetectChar attribute="Value" context="39" char="&quot;"/>
+ </context>
+
+ <context name="50:EntityDecl" attribute="Error" lineEndContext="#stay">
+ <RegExpr attribute="Entity" context="52" String="(?![&#x0660;-&#x0669;&#x06F0;-&#x06F9;&#x0966;-&#x096F;&#x09E6;-&#x09EF;&#x0A66;-&#x0A6F;&#x0AE6;-&#x0AEF;&#x0B66;-&#x0B6F;&#x0BE7;-&#x0BEF;&#x0C66;-&#x0C6F;&#x0CE6;-&#x0CEF;&#x0D66;-&#x0D6F;&#x0E50;-&#x0E59;&#x0ED0;-&#x0ED9;&#x0F20;-&#x0F29;]|\d)(\w|[_:])(\w|[_:.-])*(\s+|$)"/>
+ <DetectChar attribute="Entity" context="61" char="%"/>
+ <RegExpr attribute="Doctype Declaration" context="#stay" String="\s+"/>
+ </context>
+
+ <context name="51:unused" attribute="Error" lineEndContext="#stay">
+ </context>
+
+ <context name="52:GEDecl EntityValueOrExternalID" attribute="Error" lineEndContext="#stay">
+ <RegExpr attribute="Value" context="53" String="&quot;"/>
+ <RegExpr attribute="Value" context="54" String="'"/>
+ <RegExpr attribute="Doctype Declaration" context="55" String="PUBLIC(\s+|$)"/>
+ <RegExpr attribute="Doctype Declaration" context="58" String="SYSTEM(\s+|$)"/>
+ </context>
+
+ <context name="53:GEDecl EntityValue qq" attribute="Value" lineEndContext="#stay">
+ <RegExpr attribute="Entity" context="#stay" String="[&amp;%](?![&#x0660;-&#x0669;&#x06F0;-&#x06F9;&#x0966;-&#x096F;&#x09E6;-&#x09EF;&#x0A66;-&#x0A6F;&#x0AE6;-&#x0AEF;&#x0B66;-&#x0B6F;&#x0BE7;-&#x0BEF;&#x0C66;-&#x0C6F;&#x0CE6;-&#x0CEF;&#x0D66;-&#x0D6F;&#x0E50;-&#x0E59;&#x0ED0;-&#x0ED9;&#x0F20;-&#x0F29;]|\d)(\w|[_:])(\w|[_:.-])*;"/>
+ <RegExpr attribute="Entity" context="#stay" String="&amp;#(x[0-9a-fA-F]+|[0-9]+);"/>
+ <DetectChar attribute="Error" context="#stay" char="&amp;"/>
+ <DetectChar attribute="Error" context="#stay" char="%"/>
+ <DetectChar attribute="Error" context="#stay" char="&lt;"/>
+ <DetectChar attribute="Value" context="69" char="&quot;"/>
+ </context>
+
+ <context name="54:GEDecl EntityValue q" attribute="Value" lineEndContext="#stay">
+ <RegExpr attribute="Entity" context="#stay" String="[&amp;%](?![&#x0660;-&#x0669;&#x06F0;-&#x06F9;&#x0966;-&#x096F;&#x09E6;-&#x09EF;&#x0A66;-&#x0A6F;&#x0AE6;-&#x0AEF;&#x0B66;-&#x0B6F;&#x0BE7;-&#x0BEF;&#x0C66;-&#x0C6F;&#x0CE6;-&#x0CEF;&#x0D66;-&#x0D6F;&#x0E50;-&#x0E59;&#x0ED0;-&#x0ED9;&#x0F20;-&#x0F29;]|\d)(\w|[_:])(\w|[_:.-])*;"/>
+ <RegExpr attribute="Entity" context="#stay" String="&amp;#(x[0-9a-fA-F]+|[0-9]+);"/>
+ <DetectChar attribute="Error" context="#stay" char="&amp;"/>
+ <DetectChar attribute="Error" context="#stay" char="%"/>
+ <DetectChar attribute="Error" context="#stay" char="&lt;"/>
+ <DetectChar attribute="Value" context="69" char="'"/>
+ </context>
+
+ <context name="55:GEDecl PublicID" attribute="Error" lineEndContext="#stay">
+ <DetectChar attribute="Value" context="56" char="&quot;"/>
+ <DetectChar attribute="Value" context="57" char="'"/>
+ <RegExpr attribute="Doctype Declaration" context="#stay" String="\s+"/>
+ </context>
+
+ <context name="56:GEDecl PublicID qq" attribute="Error" lineEndContext="#stay">
+ <DetectChar attribute="Value" context="58" char="&quot;(\s+|$)"/>
+ <RegExpr attribute="Value" context="#stay" String="[&#x20;&#xD;&#xA;a-zA-Z0-9'()+,./:=?;!*#@$_%-]"/>
+ </context>
+
+ <context name="57:GEDecl PublicID q" attribute="Value" lineEndContext="#stay">
+ <DetectChar attribute="Value" context="58" char="'(\s+|$)"/>
+ <RegExpr attribute="Value" context="#stay" String="[&#x20;&#xD;&#xA;a-zA-Z0-9()+,./:=?;!*#@$_%-]"/>
+ </context>
+
+ <context name="58:GEDecl SystemID" attribute="Error" lineEndContext="#stay">
+ <DetectChar attribute="Value" context="59" char="&quot;"/>
+ <DetectChar attribute="Value" context="60" char="'"/>
+ <RegExpr attribute="Doctype Declaration" context="#stay" String="\s+"/>
+ </context>
+
+ <context name="59:GEDecl SystemID qq" attribute="Value" lineEndContext="#stay">
+ <DetectChar attribute="Value" context="69" char="&quot;"/>
+ </context>
+
+ <context name="60:GEDecl SystemID q" attribute="Value" lineEndContext="#stay">
+ <DetectChar attribute="Value" context="69" char="'"/>
+ </context>
+
+ <context name="61:PEDecl" attribute="Error" lineEndContext="#stay">
+ <RegExpr attribute="Entity" context="62" String="(?![&#x0660;-&#x0669;&#x06F0;-&#x06F9;&#x0966;-&#x096F;&#x09E6;-&#x09EF;&#x0A66;-&#x0A6F;&#x0AE6;-&#x0AEF;&#x0B66;-&#x0B6F;&#x0BE7;-&#x0BEF;&#x0C66;-&#x0C6F;&#x0CE6;-&#x0CEF;&#x0D66;-&#x0D6F;&#x0E50;-&#x0E59;&#x0ED0;-&#x0ED9;&#x0F20;-&#x0F29;]|\d)(\w|[_:])(\w|[_:.-])*(\s+|$)"/>
+ <RegExpr attribute="Doctype Declaration" context="#stay" String="\s+"/>
+ </context>
+
+ <context name="62:PEDecl EntityValueOrExternalID" attribute="Error" lineEndContext="#stay">
+ <RegExpr attribute="Value" context="53" String="&quot;"/>
+ <RegExpr attribute="Value" context="54" String="'"/>
+ <RegExpr attribute="Doctype Declaration" context="63" String="PUBLIC(\s+|$)"/>
+ <RegExpr attribute="Doctype Declaration" context="66" String="SYSTEM(\s+|$)"/>
+ </context>
+
+ <context name="63:PEDecl PublicID" attribute="Error" lineEndContext="#stay">
+ <DetectChar attribute="Value" context="64" char="&quot;"/>
+ <DetectChar attribute="Value" context="65" char="'"/>
+ <RegExpr attribute="Doctype Declaration" context="#stay" String="\s+"/>
+ </context>
+
+ <context name="64:PEDecl PublicID qq" attribute="Error" lineEndContext="#stay">
+ <DetectChar attribute="Value" context="66" char="&quot;(\s+|$)"/>
+ <RegExpr attribute="Value" context="#stay" String="[&#x20;&#xD;&#xA;a-zA-Z0-9'()+,./:=?;!*#@$_%-]"/>
+ </context>
+
+ <context name="65:PEDecl PublicID q" attribute="Value" lineEndContext="#stay">
+ <DetectChar attribute="Value" context="66" char="'(\s+|$)"/>
+ <RegExpr attribute="Value" context="#stay" String="[&#x20;&#xD;&#xA;a-zA-Z0-9()+,./:=?;!*#@$_%-]"/>
+ </context>
+
+ <context name="66:PEDecl SystemID" attribute="Error" lineEndContext="#stay">
+ <DetectChar attribute="Value" context="67" char="&quot;"/>
+ <DetectChar attribute="Value" context="68" char="'"/>
+ <RegExpr attribute="Doctype Declaration" context="#stay" String="\s+"/>
+ </context>
+
+ <context name="67:PEDecl SystemID qq" attribute="Value" lineEndContext="#stay">
+ <DetectChar attribute="Value" context="37" char="&quot;"/>
+ </context>
+
+ <context name="68:PEDecl SystemID q" attribute="Value" lineEndContext="#stay">
+ <DetectChar attribute="Value" context="37" char="'"/>
+ </context>
+
+ <context name="69:GEDecl endOrNDATA" attribute="Error" lineEndContext="#stay">
+ <DetectChar attribute="Doctype Declaration" context="23" char=">"/>
+ <RegExpr attribute="Doctype Declaration" context="70" String="NDATA(\s+|$)"/>
+ <RegExpr attribute="Doctype Declaration" context="#stay" String="\s+"/>
+ </context>
+
+ <context name="70:GEDecl NDATA" attribute="Error" lineEndContext="#stay">
+ <RegExpr attribute="Entity" context="37" String="(?![&#x0660;-&#x0669;&#x06F0;-&#x06F9;&#x0966;-&#x096F;&#x09E6;-&#x09EF;&#x0A66;-&#x0A6F;&#x0AE6;-&#x0AEF;&#x0B66;-&#x0B6F;&#x0BE7;-&#x0BEF;&#x0C66;-&#x0C6F;&#x0CE6;-&#x0CEF;&#x0D66;-&#x0D6F;&#x0E50;-&#x0E59;&#x0ED0;-&#x0ED9;&#x0F20;-&#x0F29;]|\d)(\w|[_:])(\w|[_:.-])*"/>
+ <RegExpr attribute="Doctype Declaration" context="#stay" String="\s+"/>
+ </context>
+
+ <context name="71:NotationDecl Name" attribute="Error" lineEndContext="#stay">
+ <RegExpr attribute="Entity" context="72" String="(?![&#x0660;-&#x0669;&#x06F0;-&#x06F9;&#x0966;-&#x096F;&#x09E6;-&#x09EF;&#x0A66;-&#x0A6F;&#x0AE6;-&#x0AEF;&#x0B66;-&#x0B6F;&#x0BE7;-&#x0BEF;&#x0C66;-&#x0C6F;&#x0CE6;-&#x0CEF;&#x0D66;-&#x0D6F;&#x0E50;-&#x0E59;&#x0ED0;-&#x0ED9;&#x0F20;-&#x0F29;]|\d)(\w|[_:])(\w|[_:.-])*(\s+|$)"/>
+ <RegExpr attribute="Doctype Declaration" context="#stay" String="\s+"/>
+ </context>
+
+ <context name="72:NotationDecl ExternalID" attribute="Error" lineEndContext="#stay">
+ <RegExpr attribute="Doctype Declaration" context="73" String="PUBLIC(\s+|$)"/>
+ <RegExpr attribute="Doctype Declaration" context="66" String="SYSTEM(\s+|$)"/>
+ <RegExpr attribute="Doctype Declaration" context="#stay" String="\s+"/>
+ </context>
+
+ <context name="73:NotationDecl PublicID" attribute="Error" lineEndContext="#stay">
+ <DetectChar attribute="Value" context="74" char="&quot;"/>
+ <DetectChar attribute="Value" context="75" char="'"/>
+ <RegExpr attribute="Doctype Declaration" context="#stay" String="\s+"/>
+ </context>
+
+ <context name="74:NotationDecl PublicID qq" attribute="Error" lineEndContext="#stay">
+ <DetectChar attribute="Value" context="76" char="&quot;(\s+|$)"/>
+ <RegExpr attribute="Value" context="#stay" String="[&#x20;&#xD;&#xA;a-zA-Z0-9'()+,./:=?;!*#@$_%-]"/>
+ </context>
+
+ <context name="75:NotationDecl PublicID q" attribute="Value" lineEndContext="#stay">
+ <DetectChar attribute="Value" context="76" char="'(\s+|$)"/>
+ <RegExpr attribute="Value" context="#stay" String="[&#x20;&#xD;&#xA;a-zA-Z0-9()+,./:=?;!*#@$_%-]"/>
+ </context>
+
+ <context name="76:NotationDecl SystemIDOrEnd" attribute="Error" lineEndContext="#stay">
+ <DetectChar attribute="Value" context="67" char="&quot;"/>
+ <DetectChar attribute="Value" context="68" char="'"/>
+ <DetectChar attribute="Doctype Declaration" context="23" char=">"/>
+ <RegExpr attribute="Doctype Declaration" context="#stay" String="\s+"/>
+ </context>
+
+ <context name="77:Comment inside IS" attribute="Comment" lineEndContext="#stay">
+ <RegExpr attribute="Error" context="23" String="--->"/>
+ <RegExpr attribute="Comment" context="23" String="-->"/>
+ <Detect2Chars attribute="Error" context="#stay" char="-" char1="-"/>
+ </context>
+
+ <context name="78:PI inside IS" attribute="Processing Instruction Body" lineEndContext="#stay">
+ <Detect2Chars attribute="Processing Instruction" context="23" char="?" char1="&gt;"/>
+ </context>
+
+ <context name="79:Outside" attribute="Normal Text" lineEndContext="#stay">
+ <RegExpr attribute="Error" context="80" String="&lt;[xX][mM][lL](\w|[_.-])*(:(\w|[_.-])+)?"/>
+ <RegExpr attribute="Normal Tag" context="80" String="&lt;(?![&#x0660;-&#x0669;&#x06F0;-&#x06F9;&#x0966;-&#x096F;&#x09E6;-&#x09EF;&#x0A66;-&#x0A6F;&#x0AE6;-&#x0AEF;&#x0B66;-&#x0B6F;&#x0BE7;-&#x0BEF;&#x0C66;-&#x0C6F;&#x0CE6;-&#x0CEF;&#x0D66;-&#x0D6F;&#x0E50;-&#x0E59;&#x0ED0;-&#x0ED9;&#x0F20;-&#x0F29;]|\d)(\w|_)(\w|[_.-])*(:(\w|[_.-])+)?"/>
+ <RegExpr attribute="Error" context="80" String="&lt;(?![&#x0660;-&#x0669;&#x06F0;-&#x06F9;&#x0966;-&#x096F;&#x09E6;-&#x09EF;&#x0A66;-&#x0A6F;&#x0AE6;-&#x0AEF;&#x0B66;-&#x0B6F;&#x0BE7;-&#x0BEF;&#x0C66;-&#x0C6F;&#x0CE6;-&#x0CEF;&#x0D66;-&#x0D6F;&#x0E50;-&#x0E59;&#x0ED0;-&#x0ED9;&#x0F20;-&#x0F29;]|\d)(\w|[:_])(\w|[:_.-])*"/>
+ <RegExpr attribute="Error" context="85" String="&lt;/[xX][mM][lL](\w|[_.-])*(:(\w|[_.-])+)?"/>
+ <RegExpr attribute="Normal Tag" context="85" String="&lt;/(?![&#x0660;-&#x0669;&#x06F0;-&#x06F9;&#x0966;-&#x096F;&#x09E6;-&#x09EF;&#x0A66;-&#x0A6F;&#x0AE6;-&#x0AEF;&#x0B66;-&#x0B6F;&#x0BE7;-&#x0BEF;&#x0C66;-&#x0C6F;&#x0CE6;-&#x0CEF;&#x0D66;-&#x0D6F;&#x0E50;-&#x0E59;&#x0ED0;-&#x0ED9;&#x0F20;-&#x0F29;]|\d)(\w|_)(\w|[_.-])*(:(\w|[_.-])+)?"/>
+ <RegExpr attribute="Error" context="85" String="&lt;/(?![&#x0660;-&#x0669;&#x06F0;-&#x06F9;&#x0966;-&#x096F;&#x09E6;-&#x09EF;&#x0A66;-&#x0A6F;&#x0AE6;-&#x0AEF;&#x0B66;-&#x0B6F;&#x0BE7;-&#x0BEF;&#x0C66;-&#x0C6F;&#x0CE6;-&#x0CEF;&#x0D66;-&#x0D6F;&#x0E50;-&#x0E59;&#x0ED0;-&#x0ED9;&#x0F20;-&#x0F29;]|\d)(\w|[:_])(\w|[:_.-])*"/>
+ <RegExpr attribute="Entity" context="#stay" String="&amp;(?![&#x0660;-&#x0669;&#x06F0;-&#x06F9;&#x0966;-&#x096F;&#x09E6;-&#x09EF;&#x0A66;-&#x0A6F;&#x0AE6;-&#x0AEF;&#x0B66;-&#x0B6F;&#x0BE7;-&#x0BEF;&#x0C66;-&#x0C6F;&#x0CE6;-&#x0CEF;&#x0D66;-&#x0D6F;&#x0E50;-&#x0E59;&#x0ED0;-&#x0ED9;&#x0F20;-&#x0F29;]|\d)(\w|[_:])(\w|[_:.-])*;"/>
+ <RegExpr attribute="Entity" context="#stay" String="&amp;#(x[0-9a-fA-F]+|[0-9]+);"/>
+ <RegExpr attribute="Entity" context="86" String="&lt;!\[CDATA\["/>
+ <RegExpr attribute="Comment" context="87" String="&lt;!--"/>
+ <RegExpr attribute="Normal Tag" context="88" String="&lt;\?xml-stylesheet(\s|$)"/>
+ <RegExpr attribute="Error" context="88" String="&lt;\?[xX][mM][lL](\w|[_.-])*(:(\w|[_.-])+)?"/>
+ <RegExpr attribute="Normal Tag" context="88" String="&lt;\?(?![&#x0660;-&#x0669;&#x06F0;-&#x06F9;&#x0966;-&#x096F;&#x09E6;-&#x09EF;&#x0A66;-&#x0A6F;&#x0AE6;-&#x0AEF;&#x0B66;-&#x0B6F;&#x0BE7;-&#x0BEF;&#x0C66;-&#x0C6F;&#x0CE6;-&#x0CEF;&#x0D66;-&#x0D6F;&#x0E50;-&#x0E59;&#x0ED0;-&#x0ED9;&#x0F20;-&#x0F29;]|\d)(\w|_)(\w|[_.-])*(:(\w|[_.-])+)?"/>
+ <RegExpr attribute="Error" context="88" String="&lt;\?(?![&#x0660;-&#x0669;&#x06F0;-&#x06F9;&#x0966;-&#x096F;&#x09E6;-&#x09EF;&#x0A66;-&#x0A6F;&#x0AE6;-&#x0AEF;&#x0B66;-&#x0B6F;&#x0BE7;-&#x0BEF;&#x0C66;-&#x0C6F;&#x0CE6;-&#x0CEF;&#x0D66;-&#x0D6F;&#x0E50;-&#x0E59;&#x0ED0;-&#x0ED9;&#x0F20;-&#x0F29;]|\d)(\w|[:_])(\w|[:_.-])*"/>
+ <DetectChar attribute="Error" context="#stay" char="&lt;"/>
+ <DetectChar attribute="Error" context="#stay" char="&amp;"/>
+ <RegExpr attribute="Error" context="#stay" String="\]\]>"/>
+ </context>
+
+ <context name="80:STag" attribute="Error" lineEndContext="#stay">
+ <Detect2Chars attribute="Normal Tag" context="79" char="/" char1="&gt;"/>
+ <DetectChar attribute="Normal Tag" context="79" char="&gt;"/>
+ <RegExpr attribute="Attribute" context="81" String="(xmlns:(\w|[_.-])*|xmlns|xml:(lang|base|space))"/>
+ <RegExpr attribute="Error" context="81" String="[xX][mM][lL](\w|[_.-])*(:(\w|[_.-])+)?"/>
+ <RegExpr attribute="Attribute" context="81" String="(?![&#x0660;-&#x0669;&#x06F0;-&#x06F9;&#x0966;-&#x096F;&#x09E6;-&#x09EF;&#x0A66;-&#x0A6F;&#x0AE6;-&#x0AEF;&#x0B66;-&#x0B6F;&#x0BE7;-&#x0BEF;&#x0C66;-&#x0C6F;&#x0CE6;-&#x0CEF;&#x0D66;-&#x0D6F;&#x0E50;-&#x0E59;&#x0ED0;-&#x0ED9;&#x0F20;-&#x0F29;]|\d)(\w|_)(\w|[_.-])*(:(\w|[_.-])+)?"/>
+ <RegExpr attribute="Error" context="81" String="(?![&#x0660;-&#x0669;&#x06F0;-&#x06F9;&#x0966;-&#x096F;&#x09E6;-&#x09EF;&#x0A66;-&#x0A6F;&#x0AE6;-&#x0AEF;&#x0B66;-&#x0B6F;&#x0BE7;-&#x0BEF;&#x0C66;-&#x0C6F;&#x0CE6;-&#x0CEF;&#x0D66;-&#x0D6F;&#x0E50;-&#x0E59;&#x0ED0;-&#x0ED9;&#x0F20;-&#x0F29;]|\d)(\w|[:_])(\w|[:_.-])*"/>
+ <RegExpr attribute="Normal Tag" context="#stay" String="\s+"/>
+ </context>
+
+ <context name="81:STag Attribute" attribute="Error" lineEndContext="#stay">
+ <DetectChar attribute="Attribute" context="82" char="="/>
+ <RegExpr attribute="Normal Tag" context="#stay" String="\s+"/>
+ </context>
+
+ <context name="82:STag Attribute Value" attribute="Error" lineEndContext="#stay">
+ <DetectChar attribute="Value" context="83" char="&quot;"/>
+ <DetectChar attribute="Value" context="84" char="'"/>
+ <RegExpr attribute="Normal Tag" context="#stay" String="\s+"/>
+ </context>
+
+ <context name="83:STag Value qq" attribute="Value" lineEndContext="#stay">
+ <RegExpr attribute="Entity" context="#stay" String="&amp;(?![&#x0660;-&#x0669;&#x06F0;-&#x06F9;&#x0966;-&#x096F;&#x09E6;-&#x09EF;&#x0A66;-&#x0A6F;&#x0AE6;-&#x0AEF;&#x0B66;-&#x0B6F;&#x0BE7;-&#x0BEF;&#x0C66;-&#x0C6F;&#x0CE6;-&#x0CEF;&#x0D66;-&#x0D6F;&#x0E50;-&#x0E59;&#x0ED0;-&#x0ED9;&#x0F20;-&#x0F29;]|\d)(\w|[_:])(\w|[_:.-])*;"/>
+ <RegExpr attribute="Entity" context="#stay" String="&amp;#(x[0-9a-fA-F]+|[0-9]+);"/>
+ <DetectChar attribute="Error" context="#stay" char="&amp;"/>
+ <DetectChar attribute="Error" context="#stay" char="&lt;"/>
+ <RegExpr attribute="Error" context="80" String="&quot;(?=(?![&#x0660;-&#x0669;&#x06F0;-&#x06F9;&#x0966;-&#x096F;&#x09E6;-&#x09EF;&#x0A66;-&#x0A6F;&#x0AE6;-&#x0AEF;&#x0B66;-&#x0B6F;&#x0BE7;-&#x0BEF;&#x0C66;-&#x0C6F;&#x0CE6;-&#x0CEF;&#x0D66;-&#x0D6F;&#x0E50;-&#x0E59;&#x0ED0;-&#x0ED9;&#x0F20;-&#x0F29;]|\d)(\w|[_:]))"/>
+ <RegExpr attribute="Value" context="80" String="&quot;(?=>|/>|\s|$)"/>
+ </context>
+
+ <context name="84:STag Value q" attribute="Value" lineEndContext="#stay">
+ <RegExpr attribute="Entity" context="#stay" String="&amp;(?![&#x0660;-&#x0669;&#x06F0;-&#x06F9;&#x0966;-&#x096F;&#x09E6;-&#x09EF;&#x0A66;-&#x0A6F;&#x0AE6;-&#x0AEF;&#x0B66;-&#x0B6F;&#x0BE7;-&#x0BEF;&#x0C66;-&#x0C6F;&#x0CE6;-&#x0CEF;&#x0D66;-&#x0D6F;&#x0E50;-&#x0E59;&#x0ED0;-&#x0ED9;&#x0F20;-&#x0F29;]|\d)(\w|[_:])(\w|[_:.-])*;"/>
+ <RegExpr attribute="Entity" context="#stay" String="&amp;#(x[0-9a-fA-F]+|[0-9]+);"/>
+ <DetectChar attribute="Error" context="#stay" char="&amp;"/>
+ <DetectChar attribute="Error" context="#stay" char="&lt;"/>
+ <RegExpr attribute="Error" context="80" String="'(?=(?![&#x0660;-&#x0669;&#x06F0;-&#x06F9;&#x0966;-&#x096F;&#x09E6;-&#x09EF;&#x0A66;-&#x0A6F;&#x0AE6;-&#x0AEF;&#x0B66;-&#x0B6F;&#x0BE7;-&#x0BEF;&#x0C66;-&#x0C6F;&#x0CE6;-&#x0CEF;&#x0D66;-&#x0D6F;&#x0E50;-&#x0E59;&#x0ED0;-&#x0ED9;&#x0F20;-&#x0F29;]|\d)(\w|[_:]))"/>
+ <RegExpr attribute="Value" context="80" String="'(?=>|/>|\s|$)"/>
+ </context>
+
+ <context name="85:ETag" attribute="Error" lineEndContext="#stay">
+ <DetectChar attribute="Normal Tag" context="79" char="&gt;"/>
+ <RegExpr attribute="Normal Tag" context="#stay" String="\s+"/>
+ </context>
+
+ <context name="86:CDSect" attribute="Normal Text" lineEndContext="#stay">
+ <RegExpr attribute="Entity" context="79" String="\]\]>"/>
+ </context>
+
+ <context name="87:Comment inside IS" attribute="Comment" lineEndContext="#stay">
+ <RegExpr attribute="Error" context="79" String="--->"/>
+ <RegExpr attribute="Comment" context="79" String="-->"/>
+ <Detect2Chars attribute="Error" context="#stay" char="-" char1="-"/>
+ </context>
+
+ <context name="88:PI inside IS" attribute="Processing Instruction Body" lineEndContext="#stay">
+ <Detect2Chars attribute="Processing Instruction" context="79" char="?" char1="&gt;"/>
+ </context>
+
+ </contexts>
+ <itemDatas>
+ <itemData name="Normal Text" defStyleNum="dsNormal"/>
+ <itemData name="Entity" defStyleNum="dsChar"/>
+ <itemData name="Normal Tag" defStyleNum="dsKeyword"/>
+ <itemData name="Attribute" defStyleNum="dsDataType"/>
+ <itemData name="Value" defStyleNum="dsString"/>
+ <itemData name="Doctype Declaration" defStyleNum="dsKeyword"/>
+ <itemData name="Comment" defStyleNum="dsComment"/>
+ <itemData name="Processing Instruction" defStyleNum="dsKeyword"/>
+ <itemData name="PI content" defStyleNum="dsOthers"/>
+ <itemData name="Stylesheet" defStyleNum="dsKeyword"/>
+ <itemData name="Error" defStyleNum="dsDecVal"/>
+ </itemDatas>
+ </highlighting>
+ <general>
+ <comments>
+ <comment name="multiLine" start="&lt;!--" end="--&gt;"/>
+ </comments>
+ <keywords casesensitive="1"/>
+ </general>
+</language>