summaryrefslogtreecommitdiff
path: root/share
authormickeyl <mickeyl>2003-01-24 21:13:38 (UTC)
committer mickeyl <mickeyl>2003-01-24 21:13:38 (UTC)
commitf5fa70864c66da0f12f3d34f6451c74e3bfb3103 (patch) (unidiff)
treead6585cc10ba3397bed82c87a1b692fa0a4b9e43 /share
parent67699ef8fd807a99c08d6401a67e8000bca8f1ca (diff)
downloadopie-f5fa70864c66da0f12f3d34f6451c74e3bfb3103.zip
opie-f5fa70864c66da0f12f3d34f6451c74e3bfb3103.tar.gz
opie-f5fa70864c66da0f12f3d34f6451c74e3bfb3103.tar.bz2
added syntax highlighting patterns to tinykate
Diffstat (limited to 'share') (more/less context) (ignore whitespace changes)
-rw-r--r--share/tinykate/syntax/ada.xml101
-rw-r--r--share/tinykate/syntax/bash.xml82
-rw-r--r--share/tinykate/syntax/c.xml126
-rw-r--r--share/tinykate/syntax/changelog.xml22
-rw-r--r--share/tinykate/syntax/cpp.xml100
-rw-r--r--share/tinykate/syntax/cs.xml153
-rw-r--r--share/tinykate/syntax/css.xml337
-rw-r--r--share/tinykate/syntax/desktop.xml20
-rw-r--r--share/tinykate/syntax/diff.xml33
-rw-r--r--share/tinykate/syntax/eiffel.xml76
-rw-r--r--share/tinykate/syntax/ferite.xml116
-rw-r--r--share/tinykate/syntax/fortran.xml99
-rw-r--r--share/tinykate/syntax/gnuassembler.xml286
-rw-r--r--share/tinykate/syntax/haskell.xml387
-rw-r--r--share/tinykate/syntax/html.xml50
-rw-r--r--share/tinykate/syntax/idconsole.xml2146
-rw-r--r--share/tinykate/syntax/ilerpg.xml269
-rw-r--r--share/tinykate/syntax/java.xml1946
-rw-r--r--share/tinykate/syntax/javascript.xml117
-rw-r--r--share/tinykate/syntax/kbasic.xml97
-rw-r--r--share/tinykate/syntax/latex.xml334
-rw-r--r--share/tinykate/syntax/literate-haskell.xml387
-rw-r--r--share/tinykate/syntax/mab.xml32
-rw-r--r--share/tinykate/syntax/makefile.xml81
-rw-r--r--share/tinykate/syntax/matlab.xml125
-rw-r--r--share/tinykate/syntax/objectivec.xml126
-rw-r--r--share/tinykate/syntax/pascal.xml162
-rw-r--r--share/tinykate/syntax/perl.xml603
-rw-r--r--share/tinykate/syntax/php.xml2767
-rw-r--r--share/tinykate/syntax/postscript.xml440
-rw-r--r--share/tinykate/syntax/python.xml213
-rw-r--r--share/tinykate/syntax/r.xml54
-rw-r--r--share/tinykate/syntax/rpmspec.xml67
-rw-r--r--share/tinykate/syntax/ruby.xml152
-rw-r--r--share/tinykate/syntax/sather.xml141
-rw-r--r--share/tinykate/syntax/scheme.xml247
-rw-r--r--share/tinykate/syntax/sgml.xml47
-rw-r--r--share/tinykate/syntax/sml.xml100
-rw-r--r--share/tinykate/syntax/sql.xml881
-rw-r--r--share/tinykate/syntax/tcl.xml226
-rw-r--r--share/tinykate/syntax/verilog.xml229
-rw-r--r--share/tinykate/syntax/vhdl.xml284
-rw-r--r--share/tinykate/syntax/winehq.xml35
-rw-r--r--share/tinykate/syntax/xml.xml47
-rw-r--r--share/tinykate/syntax/xmldebug.xml592
45 files changed, 14891 insertions, 44 deletions
diff --git a/share/tinykate/syntax/ada.xml b/share/tinykate/syntax/ada.xml
new file mode 100644
index 0000000..5e4ee9a
--- a/dev/null
+++ b/share/tinykate/syntax/ada.xml
@@ -0,0 +1,101 @@
1<?xml version="1.0" encoding="UTF-8"?>
2<!DOCTYPE language SYSTEM "language.dtd">
3<language name="Ada" version="1.00" kateversion="2.0" section="Sources" extensions="*.a" mimetype="text/x-adasrc">
4 <highlighting>
5 <list name="keywords">
6 <item> abort </item>
7 <item> abs </item>
8 <item> accept </item>
9 <item> access </item>
10 <item> all </item>
11 <item> and </item>
12 <item> array </item>
13 <item> at </item>
14 <item> begin </item>
15 <item> body </item>
16 <item> case </item>
17 <item> constant </item>
18 <item> declare </item>
19 <item> delay </item>
20 <item> delta </item>
21 <item> digits </item>
22 <item> do </item>
23 <item> else </item>
24 <item> elsif </item>
25 <item> end </item>
26 <item> entry </item>
27 <item> exception </item>
28 <item> exit </item>
29 <item> for </item>
30 <item> function </item>
31 <item> generic </item>
32 <item> goto </item>
33 <item> if </item>
34 <item> in </item>
35 <item> is </item>
36 <item> limited </item>
37 <item> loop </item>
38 <item> mod </item>
39 <item> new </item>
40 <item> not </item>
41 <item> null </item>
42 <item> of </item>
43 <item> or </item>
44 <item> others </item>
45 <item> out </item>
46 <item> package </item>
47 <item> pragma </item>
48 <item> private </item>
49 <item> procedure </item>
50 <item> raise </item>
51 <item> range </item>
52 <item> rem </item>
53 <item> record </item>
54 <item> renames </item>
55 <item> return </item>
56 <item> reverse </item>
57 <item> select </item>
58 <item> separate </item>
59 <item> subtype </item>
60 <item> task </item>
61 <item> terminate </item>
62 <item> then </item>
63 <item> type </item>
64 <item> use </item>
65 <item> when </item>
66 <item> while </item>
67 <item> with </item>
68 <item> xor </item>
69 </list>
70 <contexts>
71 <context attribute="0" lineEndContext="#stay">
72 <keyword attribute="1" context="#stay" String="keywords"/>
73 <Float attribute="4" context="#stay"/>
74 <Int attribute="2" context="#stay"/>
75 <RegExpr attribute="5" context="#stay" String="'.'"/>
76 <DetectChar attribute="6" context="1" char="&quot;"/>
77 <Detect2Chars attribute="7" context="2" char="-" char1="-"/>
78 </context>
79 <context attribute="6" lineEndContext="#pop">
80 <DetectChar attribute="6" context="#pop" char="&quot;"/>
81 </context>
82 <context attribute="7" lineEndContext="#pop"/>
83 </contexts>
84 <itemDatas>
85 <itemData name="Normal Text" defStyleNum="dsNormal" />
86 <itemData name="Keyword" defStyleNum="dsKeyword" />
87 <itemData name="Decimal" defStyleNum="dsDecVal" />
88 <itemData name="Base-N" defStyleNum="dsBaseN" />
89 <itemData name="Float" defStyleNum="dsFloat" />
90 <itemData name="Char" defStyleNum="dsChar" />
91 <itemData name="String" defStyleNum="dsString" />
92 <itemData name="Comment" defStyleNum="dsComment" />
93 </itemDatas>
94 </highlighting>
95 <general>
96 <comments>
97 <comment name="singleLine" start="--" />
98 </comments>
99 <keywords casesensitive="1" />
100 </general>
101</language>
diff --git a/share/tinykate/syntax/bash.xml b/share/tinykate/syntax/bash.xml
new file mode 100644
index 0000000..08e00b0
--- a/dev/null
+++ b/share/tinykate/syntax/bash.xml
@@ -0,0 +1,82 @@
1<?xml version="1.0" encoding="UTF-8"?>
2<!DOCTYPE language SYSTEM "language.dtd">
3<language name="Bash" section="Scripts" extensions="" mimetype="text/x-shellscript">
4 <highlighting>
5 <list name = "keywords">
6 <item> break </item>
7 <item> case </item>
8 <item> done </item>
9 <item> do </item>
10 <item> elif </item>
11 <item> else </item>
12 <item> esac </item>
13 <item> exit </item>
14 <item> export </item>
15 <item> fi </item>
16 <item> for </item>
17 <item> function </item>
18 <item> if </item>
19 <item> in </item>
20 <item> return </item>
21 <item> select </item>
22 <item> then </item>
23 <item> until </item>
24 <item> while </item>
25 <item> . </item>
26 </list>
27 <list name = "commands">
28 <item> cp </item>
29 <item> date </item>
30 <item> echo </item>
31 <item> eval </item>
32 </list>
33
34 <contexts>
35 <context name = "Base" attribute = "Normal Text" lineEndContext="0">
36 <keyword String = "keywords" attribute = "Keyword" context="0"/>
37 <keyword String = "commands" attribute = "Command" context="0"/>
38 <Int attribute = "Decimal" context="0"/>
39 <RegExpr String = "\$[A-Za-z0-9_?{!]+" attribute = "Parameter" context="0"/>
40 <RangeDetect char = "&quot;" char1 = "&quot;" attribute = "String" context="0"/>
41 <AnyChar String = "|&lt;&gt;=;" attribute = "Operator" context="0"/>
42 <DetectChar char="`" attribute = "Substitution" context = "2"/>
43 <Detect2Chars attribute="0" context="Normal Text" char="\" char1="#"/>
44 <RegExpr String= "#.*$" attribute = "Comment" context="0"/>
45 </context>
46
47 <context name = "String" attribute = "String" lineEndContext="1">
48 <DetectChar char = "&quot;" attribute = "String" context = "0"/>
49 </context>
50
51 <context name = "Substitution" attribute = "Substitution" lineEndContext="2">
52 <DetectChar char = "`" attribute = "Substitution" context = "0"/>
53 </context>
54
55 <context name = "Parameter" attribute = "Parameter" lineEndContext="3">
56 <RegExpr String = "\$[A-Za-z0-9_?]+" attribute = "Parameter" context="0"/>
57 </context>
58
59 </contexts>
60
61 <itemDatas>
62 <itemData name = "Normal Text" defStyleNum = "dsNormal"/>
63 <itemData name = "Keyword" defStyleNum = "dsKeyword"/>
64 <itemData name = "Decimal" defStyleNum = "dsDecVal"/>
65 <itemData name = "Float" defStyleNum = "dsFloat"/>
66 <itemData name = "Char" defStyleNum = "dsChar"/>
67 <itemData name = "String" defStyleNum = "dsString"/>
68 <itemData name = "Comment" defStyleNum = "dsComment"/>
69 <itemData name = "Substitution" defStyleNum = "dsOthers"/>
70 <itemData name = "Parameter" defStyleNum = "dsOthers"/>
71 <itemData name = "Operator" defStyleNum = "dsOthers"/>
72 <itemData name = "Command" defStyleNum = "dsNormal"/>
73 </itemDatas>
74 </highlighting>
75 <general>
76 <comments>
77 <comment name="singleLine" start="#" />
78 </comments>
79 <keywords casesensitive="1" />
80 </general>
81</language>
82
diff --git a/share/tinykate/syntax/c.xml b/share/tinykate/syntax/c.xml
new file mode 100644
index 0000000..17d7851
--- a/dev/null
+++ b/share/tinykate/syntax/c.xml
@@ -0,0 +1,126 @@
1<?xml version="1.0" encoding="UTF-8"?>
2<!DOCTYPE language SYSTEM "language.dtd">
3<language name="C" version="1.00" kateversion="2.0" section="Sources" extensions="*.c;*.C;*.h" mimetype="text/x-c++src;text/x-chdr;text/x-csrc">
4 <highlighting>
5 <list name="keywords">
6 <item> break </item>
7 <item> case </item>
8 <item> continue </item>
9 <item> default </item>
10 <item> do </item>
11 <item> else </item>
12 <item> enum </item>
13 <item> extern </item>
14 <item> for </item>
15 <item> goto </item>
16 <item> if </item>
17 <item> return </item>
18 <item> sizeof </item>
19 <item> struct </item>
20 <item> switch </item>
21 <item> typedef </item>
22 <item> union </item>
23 <item> while </item>
24 </list>
25 <list name="types">
26 <item> auto </item>
27 <item> char </item>
28 <item> const </item>
29 <item> double </item>
30 <item> float </item>
31 <item> int </item>
32 <item> long </item>
33 <item> register </item>
34 <item> short </item>
35 <item> signed </item>
36 <item> static </item>
37 <item> unsigned </item>
38 <item> void </item>
39 <item> volatile </item>
40 </list>
41 <contexts>
42 <context attribute="0" lineEndContext="#stay">
43 <keyword attribute="1" context="#stay" String="keywords"/>
44 <keyword attribute="2" context="#stay" String="types"/>
45 <Float attribute="6" context="#stay">
46 <AnyChar String="fF" attribute="6" context="#stay"/>
47 </Float>
48 <HlCOct attribute="4" context="#stay"/>
49 <HlCHex attribute="5" context="#stay"/>
50 <Int attribute="3" context="#stay" >
51 <StringDetect attribute="3" context="#stay" String="ULL" insensitive="TRUE"/>
52 <StringDetect attribute="3" context="#stay" String="LUL" insensitive="TRUE"/>
53 <StringDetect attribute="3" context="#stay" String="LLU" insensitive="TRUE"/>
54 <StringDetect attribute="3" context="#stay" String="UL" insensitive="TRUE"/>
55 <StringDetect attribute="3" context="#stay" String="LU" insensitive="TRUE"/>
56 <StringDetect attribute="3" context="#stay" String="LL" insensitive="TRUE"/>
57 <StringDetect attribute="3" context="#stay" String="U" insensitive="TRUE"/>
58 <StringDetect attribute="3" context="#stay" String="L" insensitive="TRUE"/>
59 </Int>
60 <HlCChar attribute="7" context="#stay"/>
61 <DetectChar attribute="8" context="1" char="&quot;"/>
62 <Detect2Chars attribute="10" context="2" char="/" char1="/"/>
63 <Detect2Chars attribute="10" context="3" char="/" char1="*"/>
64 <AnyChar attribute="11" context="#stay" String="!%&amp;()+,-&lt;=&gt;?[]^{|}~"/>
65 <StringDetect attribute="12" context="8" String="#if 0" insensitive="FALSE"/>
66 <RegExpr attribute="12" context="4" String="#"/>
67 </context>
68 <context attribute="8" lineEndContext="#pop">
69 <LineContinue attribute="8" context="#stay"/>
70 <HlCStringChar attribute="9" context="#stay"/>
71 <DetectChar attribute="8" context="#pop" char="&quot;"/>
72 </context>
73 <context attribute="10" lineEndContext="#pop">
74 <RegExpr attribute="3" context="#stay" String="(FIXME|TODO)" />
75 </context>
76 <context attribute="10" lineEndContext="#stay">
77 <Detect2Chars attribute="10" context="#pop" char="*" char1="/"/>
78 <RegExpr attribute="3" context="#stay" String="(FIXME|TODO)" />
79 </context>
80 <context attribute="12" lineEndContext="#pop">
81 <LineContinue attribute="12" context="#stay"/>
82 <RangeDetect attribute="13" context="#stay" char="&quot;" char1="&quot;"/>
83 <RangeDetect attribute="13" context="#stay" char="&lt;" char1="&gt;"/>
84 <Detect2Chars attribute="10" context="2" char="/" char1="/"/>
85 <Detect2Chars attribute="10" context="5" char="/" char1="*"/>
86 </context>
87 <context attribute="10" lineEndContext="#stay">
88 <Detect2Chars attribute="10" context="#pop" char="*" char1="/" />
89 </context>
90 <context attribute="0" lineEndContext="#pop" />
91 <context attribute="0" lineEndContext="#pop" />
92 <context attribute="10" lineEndContext="#stay">
93 <RegExpr attribute="3" context="#stay" String="(FIXME|TODO)" />
94 <RegExpr attribute="10" context="9" String="#if" />
95 <RegExpr attribute="12" context="#pop" String="#endif" />
96 <RegExpr attribute="12" context="#pop" String="#else" />
97 </context>
98 <context attribute="10" lineEndContext="#stay">
99 <RegExpr attribute="10" context="9" String="#if" />
100 <RegExpr attribute="10" context="#pop" String="#endif" />
101 </context>
102 </contexts>
103 <itemDatas>
104 <itemData name="Normal Text" defStyleNum="dsNormal"/>
105 <itemData name="Keyword" defStyleNum="dsKeyword"/>
106 <itemData name="Data Type" defStyleNum="dsDataType"/>
107 <itemData name="Decimal" defStyleNum="dsDecVal"/>
108 <itemData name="Octal" defStyleNum="dsBaseN"/>
109 <itemData name="Hex" defStyleNum="dsBaseN"/>
110 <itemData name="Float" defStyleNum="dsFloat"/>
111 <itemData name="Char" defStyleNum="dsChar"/>
112 <itemData name="String" defStyleNum="dsString"/>
113 <itemData name="String Char" defStyleNum="dsChar"/>
114 <itemData name="Comment" defStyleNum="dsComment"/>
115 <itemData name="Symbol" defStyleNum="dsNormal"/>
116 <itemData name="Preprocessor" defStyleNum="dsOthers"/>
117 <itemData name="Prep. Lib" defStyleNum="dsOthers"/> <!--,Qt::darkYellow,Qt::yellow,false,false)); -->
118 </itemDatas>
119 </highlighting>
120 <general>
121 <comments>
122 <comment name="multiLine" start="/*" end="*/" />
123 </comments>
124 <keywords casesensitive="1" />
125 </general>
126</language>
diff --git a/share/tinykate/syntax/changelog.xml b/share/tinykate/syntax/changelog.xml
new file mode 100644
index 0000000..626bec1
--- a/dev/null
+++ b/share/tinykate/syntax/changelog.xml
@@ -0,0 +1,22 @@
1<?xml version="1.0" encoding="UTF-8"?>
2<!DOCTYPE language SYSTEM "language.dtd">
3<language name="ChangeLog" section="Other" extensions="ChangeLog" mimetype="">
4 <highlighting>
5 <contexts>
6 <context attribute="0" lineEndContext="#stay">
7 <RegExpr attribute="1" context="#stay" String="^\d\d\d\d\s*-\s*\d\d\s*-\s*\d\d.*$"/>
8 </context>
9 </contexts>
10 <itemDatas>
11 <itemData name="Normal Text" defStyleNum="dsNormal"/>
12 <itemData name="Keyword" defStyleNum="dsKeyword"/>
13 <itemData name="Identifier" defStyleNum="dsOthers"/>
14 <itemData name="Types" defStyleNum="dsDataType"/>
15 <itemData name="String" defStyleNum="dsString"/>
16 <itemData name="Comment" defStyleNum="dsComment"/>
17 </itemDatas>
18 </highlighting>
19 <general>
20 <keywords casesensitive="1" />
21 </general>
22</language>
diff --git a/share/tinykate/syntax/cpp.xml b/share/tinykate/syntax/cpp.xml
index 889457c..8dda2a9 100644
--- a/share/tinykate/syntax/cpp.xml
+++ b/share/tinykate/syntax/cpp.xml
@@ -1,6 +1,6 @@
1<?xml version="1.0" encoding="UTF-8"?> 1<?xml version="1.0" encoding="UTF-8"?>
2<!DOCTYPE language SYSTEM "language.dtd"> 2<!DOCTYPE language SYSTEM "language.dtd">
3<language name="C++" section="Sources" extensions="*.cxx;*.cpp;*.cc;*.C;*.h" mimetype="text/x-c++-src;text/x-c++-hdr;text/x-c-hdr;text/x-c++-src"> 3<language name="C++" version="1.01" kateversion="2.0" section="Sources" extensions="*.cxx;*.cpp;*.cc;*.C;*.h" mimetype="text/x-c++src;text/x-c++hdr;text/x-chdr;text/x-c++src">
4 <highlighting> 4 <highlighting>
5 <list name="keywords"> 5 <list name="keywords">
6 <item> class </item> 6 <item> class </item>
@@ -17,11 +17,13 @@
17 <item> reinterpret_cast </item> 17 <item> reinterpret_cast </item>
18 <item> static_cast </item> 18 <item> static_cast </item>
19 <item> dynamic_cast</item> 19 <item> dynamic_cast</item>
20 <item> explicit </item>
20 <item> template </item> 21 <item> template </item>
21 <item> this </item> 22 <item> this </item>
22 <item> throw </item> 23 <item> throw </item>
23 <item> true </item> 24 <item> true </item>
24 <item> try </item> 25 <item> try </item>
26 <item> catch </item>
25 <item> typeid </item> 27 <item> typeid </item>
26 <item> typename </item> 28 <item> typename </item>
27 <item> using </item> 29 <item> using </item>
@@ -106,59 +108,69 @@
106 <item> uint64_t </item> 108 <item> uint64_t </item>
107 </list> 109 </list>
108 <contexts> 110 <contexts>
109 <context attribute="0" lineEndContext="0" name="Normal"> 111 <context attribute="0" lineEndContext="#stay" name="Normal">
110 <keyword attribute="1" context="0" String="keywords" /> 112 <RegExpr attribute="3" context="#stay" String="//BEGIN.*$" beginRegion="Region1"/>
111 <keyword attribute="2" context="0" String="types" /> 113 <RegExpr attribute="3" context="#stay" String="//END.*$" endRegion="Region1"/>
112 <Float attribute="6" context="0"> 114 <keyword attribute="1" context="#stay" String="keywords" />
113 <AnyChar String="fF" attribute="6" context="0"/> 115 <keyword attribute="2" context="#stay" String="types" />
116 <Float attribute="6" context="#stay">
117 <AnyChar String="fF" attribute="6" context="#stay"/>
114 </Float> 118 </Float>
115 <HlCOct attribute="4" context="0"/> 119 <HlCOct attribute="4" context="#stay"/>
116 <HlCHex attribute="5" context="0"/> 120 <HlCHex attribute="5" context="#stay"/>
117 <Int attribute="3" context="0" > 121 <Int attribute="3" context="#stay" >
118 <StringDetect attribute="3" context="0" String="ULL" insensitive="TRUE"/> 122 <StringDetect attribute="3" context="#stay" String="ULL" insensitive="TRUE"/>
119 <StringDetect attribute="3" context="0" String="LUL" insensitive="TRUE"/> 123 <StringDetect attribute="3" context="#stay" String="LUL" insensitive="TRUE"/>
120 <StringDetect attribute="3" context="0" String="LLU" insensitive="TRUE"/> 124 <StringDetect attribute="3" context="#stay" String="LLU" insensitive="TRUE"/>
121 <StringDetect attribute="3" context="0" String="UL" insensitive="TRUE"/> 125 <StringDetect attribute="3" context="#stay" String="UL" insensitive="TRUE"/>
122 <StringDetect attribute="3" context="0" String="LU" insensitive="TRUE"/> 126 <StringDetect attribute="3" context="#stay" String="LU" insensitive="TRUE"/>
123 <StringDetect attribute="3" context="0" String="LL" insensitive="TRUE"/> 127 <StringDetect attribute="3" context="#stay" String="LL" insensitive="TRUE"/>
124 <StringDetect attribute="3" context="0" String="U" insensitive="TRUE"/> 128 <StringDetect attribute="3" context="#stay" String="U" insensitive="TRUE"/>
125 <StringDetect attribute="3" context="0" String="L" insensitive="TRUE"/> 129 <StringDetect attribute="3" context="#stay" String="L" insensitive="TRUE"/>
126 </Int> 130 </Int>
127 <HlCChar attribute="7" context="0"/> 131 <HlCChar attribute="7" context="#stay"/>
128 <DetectChar attribute="8" context="1" char="&quot;"/> 132 <DetectChar attribute="8" context="String" char="&quot;"/>
129 <Detect2Chars attribute="10" context="2" char="/" char1="/"/> 133 <Detect2Chars attribute="10" context="Commentar 1" char="/" char1="/"/>
130 <Detect2Chars attribute="10" context="3" char="/" char1="*"/> 134 <Detect2Chars attribute="10" context="Commentar 2" char="/" char1="*" beginRegion="Comment"/>
131 <AnyChar attribute="11" context="0" String="!%&amp;()+,-&lt;=&gt;?[]^{|}~"/> 135 <DetectChar attribute="0" context="#stay" char="{" beginRegion="Brace1" />
132 <StringDetect attribute="10" context="8" String="#if 0" insensitive="FALSE"/> 136 <DetectChar attribute="0" context="#stay" char="}" endRegion="Brace1" />
133 <RegExpr attribute="12" context="4" String="^#"/> 137 <AnyChar attribute="11" context="#stay" String="!%&amp;()+,-&lt;=&gt;?[]^{|}~"/>
138 <StringDetect attribute="12" context="8" String="#if 0" insensitive="FALSE"/>
139 <RegExpr attribute="12" context="4" String="#"/>
134 </context> 140 </context>
135 <context attribute="8" lineEndContext="0" name="String"> 141 <context attribute="8" lineEndContext="#pop" name="String">
136 <LineContinue attribute="8" context="6"/> 142 <LineContinue attribute="8" context="#stay"/>
137 <HlCStringChar attribute="9" context="1"/> 143 <HlCStringChar attribute="9" context="#stay"/>
138 <DetectChar attribute="8" context="0" char="&quot;"/> 144 <DetectChar attribute="8" context="#pop" char="&quot;"/>
139 </context> 145 </context>
140 <context attribute="10" lineEndContext="0" name="Commentar 1"> 146 <context attribute="10" lineEndContext="#pop" name="Commentar 1">
141 <RegExpr attribute="3" context="2" String="(FIXME|TODO)" /> 147 <RegExpr attribute="3" context="#stay" String="(FIXME|TODO)" />
142 </context> 148 </context>
143 <context attribute="10" lineEndContext="3" name="Commentar 2"> 149 <context attribute="10" lineEndContext="#stay" name="Commentar 2">
144 <RegExpr attribute="3" context="3" String="(FIXME|TODO)" /> 150 <RegExpr attribute="3" context="#stay" String="(FIXME|TODO)" />
145 <Detect2Chars attribute="10" context="0" char="*" char1="/"/> 151 <Detect2Chars attribute="10" context="#pop" char="*" char1="/" endRegion="Comment"/>
146 </context> 152 </context>
147 <context attribute="12" lineEndContext="0" name="Preprocessor"> 153 <context attribute="12" lineEndContext="#pop" name="Preprocessor">
148 <LineContinue attribute="12" context="7"/> 154 <LineContinue attribute="12" context="#stay"/>
149 <RangeDetect attribute="13" context="4" char="&quot;" char1="&quot;"/> 155 <RangeDetect attribute="13" context="#stay" char="&quot;" char1="&quot;"/>
150 <RangeDetect attribute="13" context="4" char="&lt;" char1="&gt;"/> 156 <RangeDetect attribute="13" context="#stay" char="&lt;" char1="&gt;"/>
151 <Detect2Chars attribute="10" context="2" char="/" char1="/"/> 157 <Detect2Chars attribute="10" context="Commentar 1" char="/" char1="/"/>
152 <Detect2Chars attribute="10" context="5" char="/" char1="*"/> 158 <Detect2Chars attribute="10" context="Commentar/Preprocessor" char="/" char1="*"/>
153 </context> 159 </context>
154 <context attribute="10" lineEndContext="5" name="Commentar/Preprocessor"> 160 <context attribute="10" lineEndContext="#stay" name="Commentar/Preprocessor">
155 <Detect2Chars attribute="10" context="4" char="*" char1="/" /> 161 <Detect2Chars attribute="10" context="#pop" char="*" char1="/" />
156 </context> 162 </context>
157 <context attribute="0" lineEndContext="1" name="Some Context"/> 163 <context attribute="0" lineEndContext="1" name="Some Context"/>
158 <context attribute="0" lineEndContext="4" name="Some Context2"/> 164 <context attribute="0" lineEndContext="4" name="Some Context2"/>
159 <context attribute="10" lineEndContext="8"> 165 <context attribute="10" lineEndContext="#stay">
160 <RegExpr attribute="3" context="8" String="(FIXME|TODO)" /> 166 <RegExpr attribute="3" context="#stay" String="(FIXME|TODO)" />
161 <RegExpr attribute="10" context="0" String="^#endif" /> 167 <RegExpr attribute="10" context="9" String="#if" />
168 <RegExpr attribute="12" context="#pop" String="#endif" />
169 <RegExpr attribute="12" context="#pop" String="#else" />
170 </context>
171 <context attribute="10" lineEndContext="#stay">
172 <RegExpr attribute="10" context="9" String="#if" />
173 <RegExpr attribute="10" context="#pop" String="#endif" />
162 </context> 174 </context>
163 </contexts> 175 </contexts>
164 <itemDatas> 176 <itemDatas>
diff --git a/share/tinykate/syntax/cs.xml b/share/tinykate/syntax/cs.xml
new file mode 100644
index 0000000..e0c664b
--- a/dev/null
+++ b/share/tinykate/syntax/cs.xml
@@ -0,0 +1,153 @@
1<!DOCTYPE language SYSTEM "language.dtd">
2<language name="C#" section="Sources" extensions="*.cs" mimetype="text/x-csharp-src;text/x-csharp-hde">
3 <highlighting>
4 <list name="keywords">
5 <item> abstract</item>
6 <item> enum</item>
7 <item> stackalloc</item>
8 <item> as</item>
9 <item> event</item>
10 <item> namespace</item>
11 <item> static</item>
12 <item> base</item>
13 <item> explicit</item>
14 <item> extern</item>
15 <item> null</item>
16 <item> struct</item>
17 <item> break</item>
18 <item> switch</item>
19 <item> finally</item>
20 <item> operator</item>
21 <item> this</item>
22 <item> case</item>
23 <item> fixed</item>
24 <item> out</item>
25 <item> throw</item>
26 <item> catch</item>
27 <item> override</item>
28 <item> for</item>
29 <item> params</item>
30 <item> try</item>
31 <item> foreach</item>
32 <item> private</item>
33 <item> class</item>
34 <item> goto</item>
35 <item> protected</item>
36 <item> if</item>
37 <item> public</item>
38 <item> continue</item>
39 <item> implicit</item>
40 <item> readonly</item>
41 <item> in</item>
42 <item> ref</item>
43 <item> unsafe</item>
44 <item> default</item>
45 <item> return</item>
46 <item> delegate</item>
47 <item> interface</item>
48 <item> using</item>
49 <item> do</item>
50 <item> internal</item>
51 <item> sealed</item>
52 <item> virtual</item>
53 <item> else</item>
54 <item> lock</item>
55 <item> while</item>
56 <item> #if</item>
57 <item> #else</item>
58 <item> #elif</item>
59 <item> #endif</item>
60 <item> #define</item>
61 <item> #undef</item>
62 <item> #warning</item>
63 <item> #error</item>
64 <item> #line</item>
65 <item> #region</item>
66 <item> #endregion</item>
67 <item> true</item>
68 <item> false</item>
69 <item> new</item>
70 <item> sizeof</item>
71 <item> typeof</item>
72 <item> is</item>
73 <item> checked</item>
74 <item> unchecked</item>
75 <item> base</item>
76 </list>
77 <list name="types">
78 <item> long</item>
79 <item> string</item>
80 <item> bool</item>
81 <item> object</item>
82 <item> byte</item>
83 <item> float</item>
84 <item> char</item>
85 <item> uint</item>
86 <item> const</item>
87 <item> ulong</item>
88 <item> decimal</item>
89 <item> int</item>
90 <item> ushort</item>
91 <item> sbyte</item>
92 <item> double</item>
93 <item> short</item>
94 <item> void</item>
95 </list>
96 <contexts>
97 <context attribute="0" lineEndContext="0" name="Normal">
98 <keyword attribute="1" context="0" String="keywords"/>
99 <keyword attribute="2" context="0" String="types" />
100 <Float attribute="6" context="0">
101 <AnyChar String="fF" attribute="6" context="0"/>
102 </Float>
103 <HlCOct attribute="4" context="0"/>
104 <HlCHex attribute="5" context="0"/>
105 <Int attribute="3" context="0" >
106 <StringDetect attribute="3" context="0" String="ULL" insensitive="TRUE"/>
107 <StringDetect attribute="3" context="0" String="LUL" insensitive="TRUE"/>
108 <StringDetect attribute="3" context="0" String="LLU" insensitive="TRUE"/>
109 <StringDetect attribute="3" context="0" String="UL" insensitive="TRUE"/>
110 <StringDetect attribute="3" context="0" String="LU" insensitive="TRUE"/>
111 <StringDetect attribute="3" context="0" String="LL" insensitive="TRUE"/>
112 <StringDetect attribute="3" context="0" String="U" insensitive="TRUE"/>
113 <StringDetect attribute="3" context="0" String="L" insensitive="TRUE"/>
114 </Int>
115 <HlCChar attribute="7" context="0"/>
116 <DetectChar attribute="8" context="1" char="&quot;"/>
117 <Detect2Chars attribute="10" context="2" char="/" char1="/"/>
118 <Detect2Chars attribute="10" context="3" char="/" char1="*"/>
119 <AnyChar attribute="11" context="0" String="-+*/!~%^|=.?:{[()]}"/>
120 </context>
121 <context attribute="8" lineEndContext="0" name="String">
122 <LineContinue attribute="8" context="0"/>
123 <HlCStringChar attribute="9" context="1"/>
124 <DetectChar attribute="8" context="0" char="&quot;"/>
125 </context>
126 <context attribute="10" lineEndContext="0" name="Commentar 1"/>
127 <context attribute="10" lineEndContext="3" name="Commentar 2">
128 <Detect2Chars attribute="10" context="0" char="*" char1="/"/>
129 </context>
130 </contexts>
131 <itemDatas>
132 <itemData name="Normal Text" defStyleNum="dsNormal"/>
133 <itemData name="Keyword" defStyleNum="dsKeyword"/>
134 <itemData name="Data Type" defStyleNum="dsDataType"/>
135 <itemData name="Decimal" defStyleNum="dsDecVal"/>
136 <itemData name="Octal" defStyleNum="dsBaseN"/>
137 <itemData name="Hex" defStyleNum="dsBaseN"/>
138 <itemData name="Float" defStyleNum="dsFloat"/>
139 <itemData name="Char" defStyleNum="dsChar"/>
140 <itemData name="String" defStyleNum="dsString"/>
141 <itemData name="String Char" defStyleNum="dsChar"/>
142 <itemData name="Comment" defStyleNum="dsComment"/>
143 <itemData name="Symbol" defStyleNum="dsNormal"/>
144 </itemDatas>
145 </highlighting>
146 <general>
147 <comments>
148 <comment name="singleLine" start="//" />
149 <comment name="multiLine" start="/*" end="*/" />
150 </comments>
151 <keywords casesensitive="1" />
152 </general>
153</language>
diff --git a/share/tinykate/syntax/css.xml b/share/tinykate/syntax/css.xml
new file mode 100644
index 0000000..74f5d7a
--- a/dev/null
+++ b/share/tinykate/syntax/css.xml
@@ -0,0 +1,337 @@
1<?xml version="1.0" encoding="UTF-8"?>
2<!DOCTYPE language SYSTEM "language.dtd">
3<language name="CSS" version="1.00" kateversion="2.0" section="Markup" extensions="*.css" mimetype="text/css">
4 <highlighting>
5 <list name="keywords">
6 <item> azimuth </item>
7 <item> background-attachment </item>
8 <item> background-color </item>
9 <item> background-image </item>
10 <item> background-position </item>
11 <item> background-repeat </item>
12 <item> border-collapse </item>
13 <item> border-spacing </item>
14 <item> border-top-color </item>
15 <item> border-right-color </item>
16 <item> border-bottom-color </item>
17 <item> border-left-color </item>
18 <item> border-top-style </item>
19 <item> border-right-style </item>
20 <item> border-bottom-style </item>
21 <item> border-left-style </item>
22 <item> border-top-width </item>
23 <item> border-right-width </item>
24 <item> border-bottom-width </item>
25 <item> border-left-width </item>
26 <item> bottom </item>
27 <item> caption-side </item>
28 <item> clear </item>
29 <item> clip </item>
30 <item> color </item>
31 <item> content </item>
32 <item> counter-increment </item>
33 <item> counter-reset </item>
34 <item> cue-after </item>
35 <item> cue-before </item>
36 <item> cursor </item>
37 <item> direction </item>
38 <item> display </item>
39 <item> elevation </item>
40 <item> empty-cells </item>
41 <item> float </item>
42 <item> font-family </item>
43 <item> font-size </item>
44 <item> font-size-adjust </item>
45 <item> font-stretch </item>
46 <item> font-style </item>
47 <item> font-variant </item>
48 <item> font-weight </item>
49 <item> height </item>
50 <item> left </item>
51 <item> letter-spacing </item>
52 <item> line-height </item>
53 <item> list-style-image </item>
54 <item> list-style-position </item>
55 <item> list-style-keyword </item>
56 <item> margin-top </item>
57 <item> margin-right </item>
58 <item> margin-bottom </item>
59 <item> margin-left </item>
60 <item> marker-offset </item>
61 <item> max-height </item>
62 <item> max-width </item>
63 <item> min-height </item>
64 <item> min-width </item>
65 <item> orphans </item>
66 <item> outline-color </item>
67 <item> outline-style </item>
68 <item> outline-width </item>
69 <item> overflow </item>
70 <item> padding-top </item>
71 <item> padding-right </item>
72 <item> padding-bottom </item>
73 <item> padding-left </item>
74 <item> page </item>
75 <item> page-break-after </item>
76 <item> page-break-before </item>
77 <item> page-break-inside </item>
78 <item> pause-after </item>
79 <item> pause-before </item>
80 <item> pitch </item>
81 <item> pitch-range </item>
82 <item> play-during </item>
83 <item> position </item>
84 <item> quotes </item>
85 <item> richness </item>
86 <item> right </item>
87 <item> size </item>
88 <item> speak </item>
89 <item> speak-header </item>
90 <item> speak-numeral </item>
91 <item> speak-punctuation </item>
92 <item> speech-rate </item>
93 <item> stress </item>
94 <item> table-layout </item>
95 <item> text-align </item>
96 <item> text-decoration </item>
97 <item> text-decoration-color </item>
98 <item> text-indent </item>
99 <item> text-shadow </item>
100 <item> text-transform </item>
101 <item> top </item>
102 <item> unicode-bidi </item>
103 <item> vertical-align </item>
104 <item> visibility </item>
105 <item> voice-family </item>
106 <item> volume </item>
107 <item> white-space </item>
108 <item> widows </item>
109 <item> width </item>
110 <item> word-spacing </item>
111 <item> z-index </item>
112 <item> background </item>
113 <item> border </item>
114 <item> border-color </item>
115 <item> border-style </item>
116 <item> border-top </item>
117 <item> border-right </item>
118 <item> border-bottom </item>
119 <item> border-left </item>
120 <item> border-width </item>
121 <item> cue </item>
122 <item> font </item>
123 <item> list-style </item>
124 <item> margin </item>
125 <item> outline </item>
126 <item> padding </item>
127 <item> pause </item>
128 <item> konq_bgpos_x </item>
129 <item> konq_bgpos_y </item>
130 </list>
131 <list name="types">
132 <item> inherit </item>
133 <item> none </item>
134 <item> hidden </item>
135 <item> dotted </item>
136 <item> dashed </item>
137 <item> solid </item>
138 <item> double </item>
139 <item> groove </item>
140 <item> ridge </item>
141 <item> inset </item>
142 <item> outset </item>
143 <item> xx-small </item>
144 <item> x-small </item>
145 <item> small </item>
146 <item> medium </item>
147 <item> large </item>
148 <item> x-large </item>
149 <item> xx-large </item>
150 <item> smaller </item>
151 <item> larger </item>
152 <item> italic </item>
153 <item> oblique </item>
154 <item> small-caps </item>
155 <item> normal </item>
156 <item> bold </item>
157 <item> bolder </item>
158 <item> lighter </item>
159 <item> light </item>
160 <item> 100 </item>
161 <item> 200 </item>
162 <item> 300 </item>
163 <item> 400 </item>
164 <item> 500 </item>
165 <item> 600 </item>
166 <item> 700 </item>
167 <item> 800 </item>
168 <item> 900 </item>
169 <item> transparent </item>
170 <item> repeat </item>
171 <item> repeat-x </item>
172 <item> repeat-y </item>
173 <item> no-repeat </item>
174 <item> baseline </item>
175 <item> sub </item>
176 <item> super </item>
177 <item> top </item>
178 <item> text-top </item>
179 <item> middle </item>
180 <item> bottom </item>
181 <item> text-bottom </item>
182 <item> left </item>
183 <item> right </item>
184 <item> center </item>
185 <item> justify </item>
186 <item> konq-center </item>
187 <item> disc </item>
188 <item> circle </item>
189 <item> square </item>
190 <item> decimal </item>
191 <item> decimal-leading-zero </item>
192 <item> lower-roman </item>
193 <item> upper-roman </item>
194 <item> lower-greek </item>
195 <item> lower-alpha </item>
196 <item> lower-latin </item>
197 <item> upper-alpha </item>
198 <item> upper-latin </item>
199 <item> hebrew </item>
200 <item> armenian </item>
201 <item> georgian </item>
202 <item> cjk-ideographic </item>
203 <item> hiragana </item>
204 <item> katakana </item>
205 <item> hiragana-iroha </item>
206 <item> katakana-iroha </item>
207 <item> inline </item>
208 <item> block </item>
209 <item> list-item </item>
210 <item> run-in </item>
211 <item> compact </item>
212 <item> marker </item>
213 <item> table </item>
214 <item> inline-table </item>
215 <item> table-row-group </item>
216 <item> table-header-group </item>
217 <item> table-footer-group </item>
218 <item> table-row </item>
219 <item> table-column-group </item>
220 <item> table-column </item>
221 <item> table-cell </item>
222 <item> table-caption </item>
223 <item> auto </item>
224 <item> crosshair </item>
225 <item> default </item>
226 <item> pointer </item>
227 <item> move </item>
228 <item> e-resize </item>
229 <item> ne-resize </item>
230 <item> nw-resize </item>
231 <item> n-resize </item>
232 <item> se-resize </item>
233 <item> sw-resize </item>
234 <item> s-resize </item>
235 <item> w-resize </item>
236 <item> text </item>
237 <item> wait </item>
238 <item> help </item>
239 <item> above </item>
240 <item> absolute </item>
241 <item> always </item>
242 <item> avoid </item>
243 <item> below </item>
244 <item> bidi-override </item>
245 <item> blink </item>
246 <item> both </item>
247 <item> capitalize </item>
248 <item> caption </item>
249 <item> close-quote </item>
250 <item> collapse </item>
251 <item> condensed </item>
252 <item> crop </item>
253 <item> cross </item>
254 <item> embed </item>
255 <item> expanded </item>
256 <item> extra-condensed </item>
257 <item> extra-expanded </item>
258 <item> fixed </item>
259 <item> hand </item>
260 <item> hide </item>
261 <item> higher </item>
262 <item> icon </item>
263 <item> inside </item>
264 <item> invert </item>
265 <item> landscape </item>
266 <item> level </item>
267 <item> line-through </item>
268 <item> loud </item>
269 <item> lower </item>
270 <item> lowercase </item>
271 <item> ltr </item>
272 <item> menu </item>
273 <item> message-box </item>
274 <item> mix </item>
275 <item> narrower </item>
276 <item> no-close-quote </item>
277 <item> no-open-quote </item>
278 <item> nowrap </item>
279 <item> open-quote </item>
280 <item> outside </item>
281 <item> overline </item>
282 <item> portrait </item>
283 <item> pre </item>
284 <item> relative </item>
285 <item> rtl </item>
286 <item> scroll </item>
287 <item> semi-condensed </item>
288 <item> semi-expanded </item>
289 <item> separate </item>
290 <item> show </item>
291 <item> small-caption </item>
292 <item> static </item>
293 <item> static-position </item>
294 <item> status-bar </item>
295 <item> thick </item>
296 <item> thin </item>
297 <item> ultra-condensed </item>
298 <item> ultra-expanded </item>
299 <item> underline </item>
300 <item> uppercase </item>
301 <item> visible </item>
302 <item> wider </item>
303 <item> break </item>
304 </list>
305 <contexts>
306 <context attribute="0" lineEndContext="#stay" name="Normal">
307 <Detect2Chars attribute="5" context="2" char="/" char1="*" />
308 <DetectChar attribute="0" context="1" char="{" />
309 </context>
310 <context attribute="0" lineEndContext="#stay" name="Rules">
311 <DetectChar attribute="0" context="#pop" char="}" />
312 <Detect2Chars attribute="5" context="2" char="/" char1="*" />
313 <keyword attribute="1" context="#stay" String="keywords" />
314 <keyword attribute="2" context="#stay" String="types" />
315 </context>
316 <context attribute="5" lineEndContext="#stay" name="Comment">
317 <Detect2Chars attribute="5" context="#pop" char="*" char1="/" />
318 <RegExpr attribute="6" context= "#stay" string="(FIXME|TODO|NOTE)" />
319 </context>
320 </contexts>
321 <itemDatas>
322 <itemData name="Normal Text" defStyleNum="dsNormal"/>
323 <itemData name="Keyword" defStyleNum="dsKeyword"/>
324 <itemData name="Data Type" defStyleNum="dsDataType"/>
325 <itemData name="Decimal" defStyleNum="dsDecVal"/>
326 <itemData name="Float" defStyleNum="dsFloat"/>
327 <itemData name="Comment" defStyleNum="dsComment" />
328 <itemData name="Notice" defStyleNum="dsString" />
329 </itemDatas>
330 </highlighting>
331 <general>
332 <keywords casesensitive="1" weakDeliminator="-"/>
333 <comments>
334 <comment name="multiLine" start="/*" end="*/" />
335 </comments>
336 </general>
337</language>
diff --git a/share/tinykate/syntax/desktop.xml b/share/tinykate/syntax/desktop.xml
new file mode 100644
index 0000000..3c1f6e3
--- a/dev/null
+++ b/share/tinykate/syntax/desktop.xml
@@ -0,0 +1,20 @@
1<?xml version="1.0" encoding="UTF-8"?>
2<!DOCTYPE language SYSTEM "language.dtd">
3<language name=".desktop" section="Other" extensions="*.desktop;*.kdelnk" mimetype="application/x-desktop">
4 <highlighting>
5 <contexts>
6 <context attribute="2" lineEndContext="#stay" name="Normal">
7 <RegExpr String="^\[.*\]$" attribute="Section" context="#stay"/>
8 <RegExpr String="\[.*\]" attribute="Language" context="1"/>
9 <DetectChar char="=" attribute="0" context="1"/>
10 </context>
11 <context attribute="0" lineEndContext="#pop" name="Value"/>
12 </contexts>
13 <itemDatas>
14 <itemData name="Normal Text" defStyleNum="dsNormal"/>
15 <itemData name="Section" defStyleNum="dsKeyword"/>
16 <itemData name="Key" defStyleNum="dsDataType"/>
17 <itemData name="Language" defStyleNum="dsNormal" color="#0000FF" selColor="#ffffff" italic="0" bold="1"/>
18 </itemDatas>
19 </highlighting>
20</language>
diff --git a/share/tinykate/syntax/diff.xml b/share/tinykate/syntax/diff.xml
new file mode 100644
index 0000000..2d71403
--- a/dev/null
+++ b/share/tinykate/syntax/diff.xml
@@ -0,0 +1,33 @@
1<?xml version="1.0" encoding="UTF-8"?>
2<!DOCTYPE language SYSTEM "language.dtd">
3<language name="Diff" version="1.00" kateversion="2.0" section="Other" extensions="*.diff;*patch" mimetype="text/x-diff">
4 <highlighting>
5
6 <contexts>
7 <context attribute="0" lineEndContext="#stay">
8 <RegExpr attribute="1" context="1" String="^(\+\+\+|\-\-\-|\*\*\*|diff|\d)" />
9 <RegExpr attribute="4" context="2" String="^(\+|&gt;|!)" />
10 <RegExpr attribute="3" context="3" String="^(\-|&lt;)" />
11 <RegExpr attribute="2" context="4" String="^\@\@" />
12 </context>
13
14 <context attribute="1" lineEndContext="#pop" />
15 <context attribute="4" lineEndContext="#pop" />
16 <context attribute="3" lineEndContext="#pop" />
17 <context attribute="2" lineEndContext="#pop" />
18
19 </contexts>
20
21 <itemDatas>
22 <itemData name="Normal Text" defStyleNum="dsNormal"/>
23 <itemData name="Keyword" defStyleNum="dsKeyword"/>
24 <itemData name="Data Type" defStyleNum="dsDataType"/>
25 <itemData name="Removed line" defStyleNum="dsOthers"/>
26 <itemData name="Added line" defStyleNum="dsString"/>
27 <itemData name="Comment" defStyleNum="dsComment"/>
28 </itemDatas>
29 </highlighting>
30 <general>
31 <keywords casesensitive="1" />
32 </general>
33</language>
diff --git a/share/tinykate/syntax/eiffel.xml b/share/tinykate/syntax/eiffel.xml
new file mode 100644
index 0000000..548d779
--- a/dev/null
+++ b/share/tinykate/syntax/eiffel.xml
@@ -0,0 +1,76 @@
1<?xml version="1.0" encoding="UTF-8"?>
2<!DOCTYPE language SYSTEM "language.dtd">
3<language name="Eiffel" version="1.00" kateversion="2.0" section="Sources" extensions="*.e" mimetype="text/x-eiffel-src">
4 <highlighting>
5 <list name="keywords">
6 <item> indexing </item>
7 <item> class </item>
8 <item> inherit </item>
9 <item> creation </item>
10 <item> feature </item>
11 <item> rename </item>
12 <item> redefine </item>
13 <item> undefine </item>
14 <item> select </item>
15 <item> export </item>
16 <item> local </item>
17 <item> deferred </item>
18 <item> do </item>
19 <item> is </item>
20 <item> once </item>
21 <item> alias </item>
22 <item> external </item>
23 <item> rescue </item>
24 <item> debug </item>
25 <item> if </item>
26 <item> inspect </item>
27 <item> from </item>
28 <item> else </item>
29 <item> elseif </item>
30 <item> when </item>
31 <item> until </item>
32 <item> loop </item>
33 <item> then </item>
34 <item> obsolete </item>
35 <item> end </item>
36 </list>
37 <list name="assertions">
38 <item> check </item>
39 <item> ensure </item>
40 <item> require </item>
41 <item> variant </item>
42 <item> invariant </item>
43 </list>
44 <contexts>
45 <context attribute="0" lineEndContext="#stay">
46 <keyword attribute="1" context="#stay" String="keywords"/>
47 <Float attribute="3" context="#stay"/>
48 <Int attribute="2" context="#stay"/>
49 <RegExpr attribute="4" context="#stay" String="'.'"/>
50 <DetectChar attribute="5" context="1" char="&quot;"/>
51 <Detect2Chars attribute="6" context="2" char="-" char1="-"/>
52 <keyword attribute="7" context="#stay" String="assertions"/>
53 </context>
54 <context attribute="5" lineEndContext="#pop">
55 <DetectChar attribute="5" context="#pop" char="&quot;"/>
56 </context>
57 <context attribute="6" lineEndContext="#pop"/>
58 </contexts>
59 <itemDatas>
60 <itemData name="Normal Text" defStyleNum="dsNormal" />
61 <itemData name="Keyword" defStyleNum="dsKeyword" />
62 <itemData name="Decimal" defStyleNum="dsDecVal" />
63 <itemData name="Float" defStyleNum="dsFloat" />
64 <itemData name="Char" defStyleNum="dsChar" />
65 <itemData name="String" defStyleNum="dsString" />
66 <itemData name="Comment" defStyleNum="dsComment" />
67 <itemData name="Assertions" defStyleNum="dsOthers" />
68 </itemDatas>
69 </highlighting>
70 <general>
71 <comments>
72 <comment name="singleLine" start="--" />
73 </comments>
74 <keywords casesensitive="1" />
75 </general>
76</language>
diff --git a/share/tinykate/syntax/ferite.xml b/share/tinykate/syntax/ferite.xml
new file mode 100644
index 0000000..e1aea51
--- a/dev/null
+++ b/share/tinykate/syntax/ferite.xml
@@ -0,0 +1,116 @@
1<?xml version="1.0" encoding="UTF-8"?>
2<!DOCTYPE language SYSTEM "language.dtd">
3<language name="ferite" version="1.00" kateversion="2.0" section="Scripts" extensions="*.fe;*.feh" mimetype="text/x-ferite-src">
4 <highlighting>
5 <list name="keywords">
6 <item> break </item>
7 <item> case </item>
8 <item> continue </item>
9 <item> else </item>
10 <item> for </item>
11 <item> if </item>
12 <item> do </item>
13 <item> function </item>
14 <item> namespace </item>
15 <item> while </item>
16 <item> class </item>
17 <item> new </item>
18 <item> uses </item>
19 <item> global </item>
20 <item> return </item>
21 <item> self </item>
22 <item> super </item>
23 <item> null </item>
24 <item> iferr </item>
25 <item> fix </item>
26 </list>
27 <list name="types">
28 <item> number </item>
29 <item> void </item>
30 <item> string </item>
31 <item> array </item>
32 <item> object </item>
33 <item> final </item>
34 <item> static </item>
35 </list>
36 <contexts>
37 <context attribute="0" lineEndContext="#stay">
38 <keyword attribute="1" context="#stay" String="keywords"/>
39 <keyword attribute="2" context="#stay" String="types"/>
40 <Float attribute="6" context="#stay">
41 <AnyChar String="fF" attribute="6" context="#stay"/>
42 </Float>
43 <HlCOct attribute="4" context="#stay"/>
44 <HlCHex attribute="5" context="#stay"/>
45 <Int attribute="3" context="#stay" >
46 <StringDetect attribute="3" context="#stay" String="ULL" insensitive="TRUE"/>
47 <StringDetect attribute="3" context="#stay" String="LUL" insensitive="TRUE"/>
48 <StringDetect attribute="3" context="#stay" String="LLU" insensitive="TRUE"/>
49 <StringDetect attribute="3" context="#stay" String="UL" insensitive="TRUE"/>
50 <StringDetect attribute="3" context="#stay" String="LU" insensitive="TRUE"/>
51 <StringDetect attribute="3" context="#stay" String="LL" insensitive="TRUE"/>
52 <StringDetect attribute="3" context="#stay" String="U" insensitive="TRUE"/>
53 <StringDetect attribute="3" context="#stay" String="L" insensitive="TRUE"/>
54 </Int>
55 <HlCChar attribute="7" context="#stay"/>
56 <DetectChar attribute="8" context="1" char="&quot;"/>
57 <Detect2Chars attribute="10" context="2" char="/" char1="/"/>
58 <Detect2Chars attribute="10" context="3" char="/" char1="*"/>
59 <AnyChar attribute="11" context="#stay" String="!%&amp;()+,-&lt;=&gt;?[]^{|}~"/>
60 <StringDetect attribute="10" context="8" String="#if 0" insensitive="FALSE"/>
61 <RegExpr attribute="12" context="4" String="^#"/>
62 </context>
63 <context attribute="8" lineEndContext="#pop">
64 <LineContinue attribute="8" context="#stay"/>
65 <HlCStringChar attribute="9" context="#stay"/>
66 <DetectChar attribute="8" context="#pop" char="&quot;"/>
67 </context>
68 <context attribute="10" lineEndContext="#pop">
69 <RegExpr attribute="3" context="#stay" String="(FIXME|TODO)" />
70 </context>
71 <context attribute="10" lineEndContext="#stay">
72 <Detect2Chars attribute="10" context="#pop" char="*" char1="/"/>
73 <RegExpr attribute="3" context="#stay" String="(FIXME|TODO)" />
74 </context>
75 <context attribute="12" lineEndContext="#pop">
76 <LineContinue attribute="12" context="#stay"/>
77 <RangeDetect attribute="13" context="#stay" char="&quot;" char1="&quot;"/>
78 <RangeDetect attribute="13" context="#stay" char="&lt;" char1="&gt;"/>
79 <Detect2Chars attribute="10" context="2" char="/" char1="/"/>
80 <Detect2Chars attribute="10" context="5" char="/" char1="*"/>
81 </context>
82 <context attribute="10" lineEndContext="#stay">
83 <Detect2Chars attribute="10" context="#pop" char="*" char1="/" />
84 </context>
85 <context attribute="0" lineEndContext="#pop" />
86 <context attribute="0" lineEndContext="#pop" />
87 <context attribute="10" lineEndContext="#stay">
88 <RegExpr attribute="3" context="#stay" String="(FIXME|TODO)" />
89 <RegExpr attribute="10" context="#pop" String="^#endif" />
90 </context>
91 </contexts>
92 <itemDatas>
93 <itemData name="Normal Text" defStyleNum="dsNormal"/>
94 <itemData name="Keyword" defStyleNum="dsKeyword"/>
95 <itemData name="Data Type" defStyleNum="dsDataType"/>
96 <itemData name="Decimal" defStyleNum="dsDecVal"/>
97 <itemData name="Octal" defStyleNum="dsBaseN"/>
98 <itemData name="Hex" defStyleNum="dsBaseN"/>
99 <itemData name="Float" defStyleNum="dsFloat"/>
100 <itemData name="Char" defStyleNum="dsChar"/>
101 <itemData name="String" defStyleNum="dsString"/>
102 <itemData name="String Char" defStyleNum="dsChar"/>
103 <itemData name="Comment" defStyleNum="dsComment"/>
104 <itemData name="Symbol" defStyleNum="dsNormal"/>
105 <itemData name="Preprocessor" defStyleNum="dsOthers"/>
106 <itemData name="Prep. Lib" defStyleNum="dsOthers"/>
107 </itemDatas>
108 </highlighting>
109 <general>
110 <comments>
111 <comment name="singleLine" start="//" />
112 <comment name="multiLine" start="/*" end="*/" />
113 </comments>
114 <keywords casesensitive="1" />
115 </general>
116</language>
diff --git a/share/tinykate/syntax/fortran.xml b/share/tinykate/syntax/fortran.xml
new file mode 100644
index 0000000..ff4639b
--- a/dev/null
+++ b/share/tinykate/syntax/fortran.xml
@@ -0,0 +1,99 @@
1<?xml version="1.0" encoding="UTF-8"?>
2<!DOCTYPE language SYSTEM "language.dtd">
3<language name="Fortran" section="Sources" extensions="*.f;*.F;*.x" mimetype="text/x-fortran-src">
4 <highlighting>
5 <list name="keywords">
6 <item> break </item>
7 <item> common </item>
8 <item> continue </item>
9 <item> date </item>
10 <item> default </item>
11 <item> dimension </item>
12 <item> do </item>
13 <item> else </item>
14 <item> enddo </item>
15 <item> endif </item>
16 <item> for </item>
17 <item> goto </item>
18 <item> go </item>
19 <item> to </item>
20 <item> if </item>
21 <item> then </item>
22 <item> return </item>
23 <item> end </item>
24 <item> format </item>
25 <item> write </item>
26 <item> read </item>
27 <item> subroutine </item>
28 <item> function </item>
29 <item> switch </item>
30 <item> program </item>
31 <item> call </item>
32 <item> while </item>
33 <item> &per;gt&per; </item>
34 <item> &per;lt&per; </item>
35 <item> &per;ge&per; </item>
36 <item> &per;le&per; </item>
37 <item> &per;eq&per; </item>
38 <item> &per;ne&per; </item>
39 </list>
40 <list name="types">
41 <item> character </item>
42 <item> complex </item>
43 <item> double </item>
44 <item> precision </item>
45 <item> real </item>
46 <item> real*8 </item>
47 <item> integer </item>
48 <item> common </item>
49 <item> logical </item>
50 <item> implicit </item>
51 <item> dimension </item>
52 <item> external </item>
53 <item> parameter </item>
54 </list>
55 <contexts>
56 <context attribute="Normal Text" lineEndContext="0">
57 <keyword attribute="Keyword" context="0" String="keywords"/>
58 <keyword attribute="Data Type" context="0" String="types"/>
59 <Float attribute="Float" context="0">
60 <AnyChar attribute="Float" context="0" String="fFdD"/>
61 </Float>
62 <HlCOct attribute="Octal" context="0"/>
63 <HlCHex attribute="Hex" context="0"/>
64 <Int attribute="Decimal" context="0" />
65 <HlCChar attribute="Char" context="0"/>
66 <DetectChar attribute="String" context="1" char="&quot;"/>
67 <RegExpr attribute = "Comment" context="0" String="^[cC].*$"/>
68 <RegExpr attribute = "Preprocessor" context="0" String="^[\*#].*$"/>
69 <AnyChar attribute="Symbol" context="0" String="!%&amp;()+,-&lt;=&gt;?[]^{|}~"/>
70 </context>
71 <context attribute="String" lineEndContext="0">
72 <HlCStringChar attribute="String Char" context="1"/>
73 <DetectChar attribute="String" context="0" char="&quot;"/>
74 </context>
75 </contexts>
76 <itemDatas>
77 <itemData name="Normal Text" defStyleNum="dsNormal"/>
78 <itemData name="Keyword" defStyleNum="dsKeyword"/>
79 <itemData name="Data Type" defStyleNum="dsDataType"/>
80 <itemData name="Decimal" defStyleNum="dsDecVal"/>
81 <itemData name="Octal" defStyleNum="dsBaseN"/>
82 <itemData name="Hex" defStyleNum="dsBaseN"/>
83 <itemData name="Float" defStyleNum="dsFloat"/>
84 <itemData name="Char" defStyleNum="dsChar"/>
85 <itemData name="String" defStyleNum="dsString"/>
86 <itemData name="String Char" defStyleNum="dsChar"/>
87 <itemData name="Comment" defStyleNum="dsComment"/>
88 <itemData name="Symbol" defStyleNum="dsNormal"/>
89 <itemData name="Preprocessor" defStyleNum="dsOthers"/>
90 <itemData name="Prep. Lib" defStyleNum="dsOthers"/>
91 </itemDatas>
92 </highlighting>
93 <general>
94 <keywords casesensitive="0" />
95 <comments>
96 <comment name="singleLine" start="c" />
97 </comments>
98 </general>
99</language>
diff --git a/share/tinykate/syntax/gnuassembler.xml b/share/tinykate/syntax/gnuassembler.xml
new file mode 100644
index 0000000..b874383
--- a/dev/null
+++ b/share/tinykate/syntax/gnuassembler.xml
@@ -0,0 +1,286 @@
1<?xml version="1.0" encoding="UTF-8"?>
2<!DOCTYPE language SYSTEM "language.dtd">
3<!--
4
5*************************************************************************
6* *
7* Syntax highlighting for the GNU Assembler *
8* Copyright (C) 2002, John Zaitseff *
9* *
10*************************************************************************
11
12Author: John Zaitseff <J.Zaitseff@zap.org.au>
13Date: 15th April, 2002
14Version: 1.0
15
16This file contains the XML syntax highlighting description for the GNU
17Assembler, for KATE, the KDE Advanced Editor. Keywords have been taken
18directly from the GNU Assembler source code (read.c).
19
20Known problems: Floating point highlighting does not work correctly.
21
22This program, including associated files, is free software. You may
23distribute it and/or modify it under the terms of the GNU General Public
24License as published by the Free Software Foundation; either Version 2 of
25the license, or (at your option) any later version.
26
27This program is distributed in the hope that it will be useful, but
28WITHOUT ANY WARRANTY; without even the implied warranty of MERCHANTABILITY
29or FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
30for more details.
31
32You should have received a copy of the GNU General Public License along
33with this program; if not, write to the Free Software Foundation, Inc.,
3459 Temple Place, Suite 330, Boston, MA 02111-1307 USA
35
36-->
37
38<language name="GNU Assembler" section="Sources"
39 extensions="*.s;*.S;*.inc" mimetype="text/x-asm">
40 <highlighting>
41 <list name="keywords">
42 <item>.abort</item>
43 <item>.align</item>
44 <item>.appfile</item>
45 <item>.appline</item>
46 <item>.ascii</item>
47 <item>.asciz</item>
48 <item>.balign</item>
49 <item>.balignl</item>
50 <item>.balignw</item>
51 <item>.byte</item>
52 <item>.comm</item>
53 <item>.common.s</item>
54 <item>.common</item>
55 <item>.data</item>
56 <item>.dc.b</item>
57 <item>.dc.d</item>
58 <item>.dc.l</item>
59 <item>.dc.s</item>
60 <item>.dc.w</item>
61 <item>.dc.x</item>
62 <item>.dc</item>
63 <item>.dcb.b</item>
64 <item>.dcb.d</item>
65 <item>.dcb.l</item>
66 <item>.dcb.s</item>
67 <item>.dcb.w</item>
68 <item>.dcb.x</item>
69 <item>.dcb</item>
70 <item>.debug</item>
71 <item>.def</item>
72 <item>.desc</item>
73 <item>.dim</item>
74 <item>.double</item>
75 <item>.ds.b</item>
76 <item>.ds.d</item>
77 <item>.ds.l</item>
78 <item>.ds.p</item>
79 <item>.ds.s</item>
80 <item>.ds.w</item>
81 <item>.ds.x</item>
82 <item>.ds</item>
83 <item>.dsect</item>
84 <item>.eject</item>
85 <item>.else</item>
86 <item>.elsec</item>
87 <item>.elseif</item>
88 <item>.end</item>
89 <item>.endc</item>
90 <item>.endef</item>
91 <item>.endfunc</item>
92 <item>.endif</item>
93 <item>.endm</item>
94 <item>.endr</item>
95 <item>.equ</item>
96 <item>.equiv</item>
97 <item>.err</item>
98 <item>.exitm</item>
99 <item>.extend</item>
100 <item>.extern</item>
101 <item>.fail</item>
102 <item>.file</item>
103 <item>.fill</item>
104 <item>.float</item>
105 <item>.format</item>
106 <item>.func</item>
107 <item>.global</item>
108 <item>.globl</item>
109 <item>.hidden</item>
110 <item>.hword</item>
111 <item>.ident</item>
112 <item>.if</item>
113 <item>.ifc</item>
114 <item>.ifdef</item>
115 <item>.ifeq</item>
116 <item>.ifeqs</item>
117 <item>.ifge</item>
118 <item>.ifgt</item>
119 <item>.ifle</item>
120 <item>.iflt</item>
121 <item>.ifnc</item>
122 <item>.ifndef</item>
123 <item>.ifne</item>
124 <item>.ifnes</item>
125 <item>.ifnotdef</item>
126 <item>.include</item>
127 <item>.int</item>
128 <item>.internal</item>
129 <item>.irep</item>
130 <item>.irepc</item>
131 <item>.irp</item>
132 <item>.irpc</item>
133 <item>.lcomm</item>
134 <item>.lflags</item>
135 <item>.line</item>
136 <item>.linkonce</item>
137 <item>.list</item>
138 <item>.llen</item>
139 <item>.ln</item>
140 <item>.long</item>
141 <item>.lsym</item>
142 <item>.macro</item>
143 <item>.mexit</item>
144 <item>.name</item>
145 <item>.noformat</item>
146 <item>.nolist</item>
147 <item>.nopage</item>
148 <item>.octa</item>
149 <item>.offset</item>
150 <item>.org</item>
151 <item>.p2align</item>
152 <item>.p2alignl</item>
153 <item>.p2alignw</item>
154 <item>.page</item>
155 <item>.plen</item>
156 <item>.popsection</item>
157 <item>.previous</item>
158 <item>.print</item>
159 <item>.protected</item>
160 <item>.psize</item>
161 <item>.purgem</item>
162 <item>.pushsection</item>
163 <item>.quad</item>
164 <item>.rep</item>
165 <item>.rept</item>
166 <item>.rva</item>
167 <item>.sbttl</item>
168 <item>.scl</item>
169 <item>.sect.s</item>
170 <item>.sect</item>
171 <item>.section.s</item>
172 <item>.section</item>
173 <item>.set</item>
174 <item>.short</item>
175 <item>.single</item>
176 <item>.size</item>
177 <item>.skip</item>
178 <item>.sleb128</item>
179 <item>.space</item>
180 <item>.spc</item>
181 <item>.stabd</item>
182 <item>.stabn</item>
183 <item>.stabs</item>
184 <item>.string</item>
185 <item>.struct</item>
186 <item>.subsection</item>
187 <item>.symver</item>
188 <item>.tag</item>
189 <item>.text</item>
190 <item>.title</item>
191 <item>.ttl</item>
192 <item>.type</item>
193 <item>.uleb128</item>
194 <item>.use</item>
195 <item>.val</item>
196 <item>.version</item>
197 <item>.vtable_entry</item>
198 <item>.vtable_inherit</item>
199 <item>.weak</item>
200 <item>.word</item>
201 <item>.xcom</item>
202 <item>.xdef</item>
203 <item>.xref</item>
204 <item>.xstabs</item>
205 <item>.zero</item>
206 <!-- Directives specific to ARM -->
207 <item>.arm</item>
208 <item>.bss</item>
209 <item>.code</item>
210 <item>.even</item>
211 <item>.force_thumb</item>
212 <item>.ldouble</item>
213 <item>.loc</item>
214 <item>.ltorg</item>
215 <item>.packed</item>
216 <item>.pool</item>
217 <item>.req</item>
218 <item>.thumb</item>
219 <item>.thumb_func</item>
220 <item>.thumb_set</item>
221 </list>
222
223 <contexts>
224 <context attribute="0" lineEndContext="0">
225 <RegExpr attribute="1" context="0"
226 String="^\s*[A-Za-z0-9_.$]+:" />
227 <keyword attribute="2" context="0" String="keywords"
228 weakDelimiter="_.$" />
229 <HlCOct attribute="4" context="0" />
230 <HlCHex attribute="5" context="0" />
231 <RegExpr attribute="6" context="0" String="0[bB][01]+" />
232 <Int attribute="3" context="0" />
233 <RegExpr attribute="7" context="0"
234 String="0[fFeEdD][-+]?[0-9]*\.?[0-9]*[eE]?[-+]?[0-9]+" />
235 <RegExpr attribute="0" context="0"
236 String="[A-Za-z_.$][A-Za-z0-9_.$]*" />
237 <RegExpr attribute="8" context="0"
238 String="'(\\x[0-9a-fA-F][0-9a-fA-F]?|\\[0-7]?[0-7]?[0-7]?|\\.|.)" />
239 <DetectChar attribute="9" context="4" char="&quot;" />
240 <Detect2Chars attribute="12" context="1" char="/" char1="*" />
241 <DetectChar attribute="12" context="2" char="@" />
242 <DetectChar attribute="12" context="2" char=";" />
243 <AnyChar attribute="11" context="0"
244 String="!#%&amp;*()+,-&lt;=&gt;?/:[]^{|}~" />
245 <RegExpr attribute="13" context="3" String="^#" />
246 </context>
247 <context attribute="12" lineEndContext="1">
248 <Detect2Chars attribute="12" context="0" char="*" char1="/" />
249 </context>
250 <context attribute="12" lineEndContext="0" />
251 <context attribute="13" lineEndContext="0" />
252 <context attribute="9" lineEndContext="0">
253 <LineContinue attribute="9" context="5" />
254 <HlCStringChar attribute="10" context="4" />
255 <DetectChar attribute="9" context="0" char="&quot;" />
256 </context>
257 <context attribute="0" lineEndContext="4" />
258 </contexts>
259
260 <itemDatas>
261 <itemData name="Normal Text" defStyleNum="dsNormal" />
262 <itemData name="Label" defStyleNum="dsNormal" />
263 <itemData name="Keyword" defStyleNum="dsKeyword" />
264 <itemData name="Decimal" defStyleNum="dsDecVal" />
265 <itemData name="Octal" defStyleNum="dsBaseN" />
266 <itemData name="Hex" defStyleNum="dsBaseN" />
267 <itemData name="Binary" defStyleNum="dsBaseN" />
268 <itemData name="Float" defStyleNum="dsFloat" />
269 <itemData name="Char" defStyleNum="dsChar" />
270 <itemData name="String" defStyleNum="dsString" />
271 <itemData name="String Char" defStyleNum="dsChar" />
272 <itemData name="Symbol" defStyleNum="dsNormal" />
273 <itemData name="Comment" defStyleNum="dsComment" />
274 <itemData name="Preprocessor" defStyleNum="dsOthers" />
275 </itemDatas>
276 </highlighting>
277
278 <general>
279 <comments>
280 <comment name="singleLine" start=";" />
281 <comment name="singleLine" start="@" />
282 <comment name="multiLine" start="/*" end="*/" />
283 </comments>
284 <keywords casesensitive="1" weakDelimiter="_.$" />
285 </general>
286</language>
diff --git a/share/tinykate/syntax/haskell.xml b/share/tinykate/syntax/haskell.xml
new file mode 100644
index 0000000..a5bd955
--- a/dev/null
+++ b/share/tinykate/syntax/haskell.xml
@@ -0,0 +1,387 @@
1<?xml version="1.0" encoding="UTF-8"?>
2<!DOCTYPE language SYSTEM "language.dtd">
3<!-- Haskell syntax highlighting by Marcel Martin <mmar@freenet.de> -->
4<language name="Haskell" version="1.00" kateversion="2.0" section="Sources" extensions="*.hs">
5 <highlighting>
6 <list name="keywords">
7 <item> case </item>
8 <item> class </item>
9 <item> data </item>
10 <item> deriving </item>
11 <item> do </item>
12 <item> else </item>
13 <item> if </item>
14 <item> in </item>
15 <item> infixl </item>
16 <item> infixr </item>
17 <item> instance </item>
18 <item> let </item>
19 <item> module </item>
20 <item> of </item>
21 <item> primitive </item>
22 <item> then </item>
23 <item> type </item>
24 <item> where </item>
25 </list>
26 <list name="infix operators">
27 <item> quot </item>
28 <item> rem </item>
29 <item> div </item>
30 <item> mod </item>
31 <item> elem </item>
32 <item> notElem </item>
33 <item> seq </item>
34 </list>
35 <list name="functions">
36 <!--
37 These operators are not handled yet.
38 <item> !! </item>
39 <item> % </item>
40 <item> && </item>
41 <item> $! </item>
42 <item> $ </item>
43 <item> * </item>
44 <item> ** </item>
45 <item> - </item>
46 <item> . </item>
47 <item> /= </item>
48 <item> < </item>
49 <item> <= </item>
50 <item> =<< </item>
51 <item> == </item>
52 <item> > </item>
53 <item> >= </item>
54 <item> >> </item>
55 <item> >>= </item>
56 <item> ^ </item>
57 <item> ^^ </item>
58 <item> ++ </item>
59 <item> || </item>
60 //-->
61
62 <item> FilePath </item>
63 <item> IOError </item>
64 <item> abs </item>
65 <item> acos </item>
66 <item> acosh </item>
67 <item> all </item>
68 <item> and </item>
69 <item> any </item>
70 <item> appendFile </item>
71 <item> approxRational </item>
72 <item> asTypeOf </item>
73 <item> asin </item>
74 <item> asinh </item>
75 <item> atan </item>
76 <item> atan2 </item>
77 <item> atanh </item>
78 <item> basicIORun </item>
79 <item> break </item>
80 <item> catch </item>
81 <item> ceiling </item>
82 <item> chr </item>
83 <item> compare </item>
84 <item> concat </item>
85 <item> concatMap </item>
86 <item> const </item>
87 <item> cos </item>
88 <item> cosh </item>
89 <item> curry </item>
90 <item> cycle </item>
91 <item> decodeFloat </item>
92 <item> denominator </item>
93 <item> digitToInt </item>
94 <item> div </item>
95 <item> divMod </item>
96 <item> drop </item>
97 <item> dropWhile </item>
98 <item> either </item>
99 <item> elem </item>
100 <item> encodeFloat </item>
101 <item> enumFrom </item>
102 <item> enumFromThen </item>
103 <item> enumFromThenTo </item>
104 <item> enumFromTo </item>
105 <item> error </item>
106 <item> even </item>
107 <item> exp </item>
108 <item> exponent </item>
109 <item> fail </item>
110 <item> filter </item>
111 <item> flip </item>
112 <item> floatDigits </item>
113 <item> floatRadix </item>
114 <item> floatRange </item>
115 <item> floor </item>
116 <item> fmap </item>
117 <item> foldl </item>
118 <item> foldl1 </item>
119 <item> foldr </item>
120 <item> foldr1 </item>
121 <item> fromDouble </item>
122 <item> fromEnum </item>
123 <item> fromInt </item>
124 <item> fromInteger </item>
125 <item> fromIntegral </item>
126 <item> fromRational </item>
127 <item> fst </item>
128 <item> gcd </item>
129 <item> getChar </item>
130 <item> getContents </item>
131 <item> getLine </item>
132 <item> head </item>
133 <item> id </item>
134 <item> inRange </item>
135 <item> index </item>
136 <item> init </item>
137 <item> intToDigit </item>
138 <item> interact </item>
139 <item> ioError </item>
140 <item> isAlpha </item>
141 <item> isAlphaNum </item>
142 <item> isAscii </item>
143 <item> isControl </item>
144 <item> isDenormalized </item>
145 <item> isDigit </item>
146 <item> isHexDigit </item>
147 <item> isIEEE </item>
148 <item> isInfinite </item>
149 <item> isLower </item>
150 <item> isNaN </item>
151 <item> isNegativeZero </item>
152 <item> isOctDigit </item>
153 <item> isPrint </item>
154 <item> isSpace </item>
155 <item> isUpper </item>
156 <item> iterate </item>
157 <item> last </item>
158 <item> lcm </item>
159 <item> length </item>
160 <item> lex </item>
161 <item> lexDigits </item>
162 <item> lexLitChar </item>
163 <item> lines </item>
164 <item> log </item>
165 <item> logBase </item>
166 <item> lookup </item>
167 <item> map </item>
168 <item> mapM </item>
169 <item> mapM_ </item>
170 <item> max </item>
171 <item> maxBound </item>
172 <item> maximum </item>
173 <item> maybe </item>
174 <item> min </item>
175 <item> minBound </item>
176 <item> minimum </item>
177 <item> mod </item>
178 <item> negate </item>
179 <item> not </item>
180 <item> notElem </item>
181 <item> null </item>
182 <item> numerator </item>
183 <item> odd </item>
184 <item> or </item>
185 <item> ord </item>
186 <item> otherwise </item>
187 <item> pi </item>
188 <item> pred </item>
189 <item> primExitWith </item>
190 <item> print </item>
191 <item> product </item>
192 <item> properFraction </item>
193 <item> putChar </item>
194 <item> putStr </item>
195 <item> putStrLn </item>
196 <item> quot </item>
197 <item> quotRem </item>
198 <item> range </item>
199 <item> rangeSize </item>
200 <item> read </item>
201 <item> readDec </item>
202 <item> readFile </item>
203 <item> readFloat </item>
204 <item> readHex </item>
205 <item> readIO </item>
206 <item> readInt </item>
207 <item> readList </item>
208 <item> readLitChar </item>
209 <item> readLn </item>
210 <item> readOct </item>
211 <item> readParen </item>
212 <item> readSigned </item>
213 <item> reads </item>
214 <item> readsPrec </item>
215 <item> realToFrac </item>
216 <item> recip </item>
217 <item> rem </item>
218 <item> repeat </item>
219 <item> replicate </item>
220 <item> return </item>
221 <item> reverse </item>
222 <item> round </item>
223 <item> scaleFloat </item>
224 <item> scanl </item>
225 <item> scanl1 </item>
226 <item> scanr </item>
227 <item> scanr1 </item>
228 <item> seq </item>
229 <item> sequence </item>
230 <item> sequence_ </item>
231 <item> show </item>
232 <item> showChar </item>
233 <item> showInt </item>
234 <item> showList </item>
235 <item> showLitChar </item>
236 <item> showParen </item>
237 <item> showSigned </item>
238 <item> showString </item>
239 <item> shows </item>
240 <item> showsPrec </item>
241 <item> significand </item>
242 <item> signum </item>
243 <item> sin </item>
244 <item> sinh </item>
245 <item> snd </item>
246 <item> span </item>
247 <item> splitAt </item>
248 <item> sqrt </item>
249 <item> subtract </item>
250 <item> succ </item>
251 <item> sum </item>
252 <item> tail </item>
253 <item> take </item>
254 <item> takeWhile </item>
255 <item> tan </item>
256 <item> tanh </item>
257 <item> threadToIOResult </item>
258 <item> toEnum </item>
259 <item> toInt </item>
260 <item> toInteger </item>
261 <item> toLower </item>
262 <item> toRational </item>
263 <item> toUpper </item>
264 <item> truncate </item>
265 <item> uncurry </item>
266 <item> undefined </item>
267 <item> unlines </item>
268 <item> until </item>
269 <item> unwords </item>
270 <item> unzip </item>
271 <item> unzip3 </item>
272 <item> userError </item>
273 <item> words </item>
274 <item> writeFile </item>
275 <item> zip </item>
276 <item> zip3 </item>
277 <item> zipWith </item>
278 <item> zipWith3 </item>
279 </list>
280 <list name="type constructors">
281 <item> Bool </item>
282 <item> Char </item>
283 <item> Double </item>
284 <item> Either </item>
285 <item> Float </item>
286 <item> IO </item>
287 <item> Integer </item>
288 <item> Int </item>
289 <item> Maybe </item>
290 <item> Ordering </item>
291 <item> Rational </item>
292 <item> Ratio </item>
293 <item> ReadS </item>
294 <item> ShowS </item>
295 <item> String </item>
296
297 </list>
298 <list name="classes">
299 <item> Bounded </item>
300 <item> Enum </item>
301 <item> Eq </item>
302 <item> Floating </item>
303 <item> Fractional </item>
304 <item> Functor </item>
305 <item> Integral </item>
306 <item> Ix </item>
307 <item> Monad </item>
308 <item> Num </item>
309 <item> Ord </item>
310 <item> Read </item>
311 <item> RealFloat </item>
312 <item> RealFrac </item>
313 <item> Real </item>
314 <item> Show </item>
315 </list>
316 <list name="data constructors">
317 <item> EQ </item>
318 <item> False </item>
319 <item> GT </item>
320 <item> Just </item>
321 <item> LT </item>
322 <item> Left </item>
323 <item> Nothing </item>
324 <item> Right </item>
325 <item> True </item>
326 </list>
327 <contexts>
328 <context attribute="Normal Text" lineEndContext="#stay" name="normal">
329 <Detect2Chars attribute="Comment" context="comment_multi_line" char="{" char1="-" />
330 <Detect2Chars attribute="Comment" context="comment_single_line" char="-" char1="-" />
331 <keyword attribute="Keyword" context="#stay" String="keywords" />
332 <keyword attribute="Class" context="#stay" String="classes" />
333 <keyword attribute="Type Constructor" context="#stay" String="type constructors" />
334 <keyword attribute="Function" context="#stay" String="functions" />
335 <keyword attribute="Data Constructor" context="#stay" String="data constructors" />
336 <DetectChar attribute="String" context="string" char="&quot;" />
337 <DetectChar attribute="Infix Operator" context="infix" char="`"/>
338 <RegExpr attribute="Normal Text" context="#stay" String="[A-Za-z0-9_][']+" />
339 <DetectChar attribute="Char" context="single_char" char="'" />
340 <RegExpr attribute="Function Definition" context="#stay" String="[a-z_]+[A-Za-z_0-9]*'*\s*::" />
341 <Float attribute="Float" context="#stay" />
342 <Int attribute="Decimal" context="#stay" />
343 </context>
344 <context attribute="Comment" lineEndContext="#pop" name="comment_single_line" />
345 <context attribute="Comment" lineEndContext="#stay" name="comment_multi_line">
346 <Detect2Chars attribute="Comment" context="#pop" char="-" char1="}" />
347 </context>
348 <context attribute="String" lineEndContext="#stay" name="string">
349 <RegExpr attribute="String" context="#stay" String="\\." />
350 <DetectChar attribute="String" context="#pop" char="&quot;" />
351 </context>
352 <context attribute="Infix Operator" lineEndContext="#stay" name="infix">
353 <DetectChar attribute="Infix Operator" context="#pop" char="`"/>
354 </context>
355 <context attribute="Char" lineEndContext="#pop" name="single_char">
356 <RegExpr attribute="Char" context="#stay" String="\\." />
357 <DetectChar attribute="Char" context="#pop" char="'" />
358 </context>
359 <context attribute="Function Definition" lineEndContext="#pop" name="function_definition">
360 <DetectChar attribute="Function Definition" context="#pop" char=";" />
361 </context>
362 </contexts>
363 <itemDatas>
364 <itemData name="Normal Text" defStyleNum="dsNormal"/>
365 <itemData name="Keyword" defStyleNum="dsKeyword"/>
366 <itemData name="Function" defStyleNum="dsOthers"/>
367 <itemData name="Function Definition"defStyleNum="dsOthers"/>
368 <itemData name="Class" defStyleNum="dsKeyword"/>
369 <itemData name="Decimal" defStyleNum="dsDecVal"/>
370 <itemData name="Float" defStyleNum="dsFloat"/>
371 <itemData name="Char" defStyleNum="dsChar"/>
372 <itemData name="String" defStyleNum="dsString"/>
373 <itemData name="Constructor" defStyleNum="dsOthers"/>
374 <itemData name="Comment" defStyleNum="dsComment"/>
375 <itemData name="Data Constructor"defStyleNum="dsKeyword"/>
376 <itemData name="Type Constructor"defStyleNum="dsDataType"/>
377 <itemData name="Infix Operator" defStyleNum="dsOthers"/>
378 </itemDatas>
379 </highlighting>
380 <general>
381 <comments>
382 <comment name="singleLine" start="--" />
383 <comment name="multiLine" start="{-" end="-}" />
384 </comments>
385 <keywords casesensitive="1" />
386 </general>
387</language>
diff --git a/share/tinykate/syntax/html.xml b/share/tinykate/syntax/html.xml
new file mode 100644
index 0000000..f4ce3f0
--- a/dev/null
+++ b/share/tinykate/syntax/html.xml
@@ -0,0 +1,50 @@
1<?xml version="1.0" encoding="UTF-8"?>
2<!DOCTYPE language SYSTEM "language.dtd">
3<language name="HTML" version="1.00" kateversion="2.0" section="Markup" extensions="*.html;*.htm;*.shtml;*.shtm" mimetype="text/html">
4 <highlighting>
5 <contexts>
6 <context attribute="0" lineEndContext="#stay">
7 <StringDetect attribute="Comment" context="6" String="&lt;!--"/>
8 <RegExpr attribute="1" context="1" String="&lt;\s*\/?\s*[a-zA-Z0-9_]*" />
9 </context>
10
11 <context attribute="2" lineEndContext="#stay">
12 <DetectChar attribute="1" context="#pop" char="&gt;"/>
13 <RegExpr attribute="0" context="2" String="\s*=\s*"/>
14 </context>
15
16 <context attribute="8" lineEndContext="#stay">
17 <RegExpr attribute="3" context="#pop" String="\s*#?[a-zA-Z0-9]*" />
18 <DetectChar attribute="3" context="3" char="&quot;" />
19 </context>
20
21 <context attribute="3" lineEndContext="#stay">
22 <DetectChar attribute="3" context="#pop#pop" char="&quot;" />
23 </context>
24
25 <context attribute="5" lineEndContext="#pop"/>
26 <context attribute="4" lineEndContext="#pop">
27 <DetectChar attribute="4" context="#pop" char="'"/>
28 </context>
29
30 <context attribute="Comment" lineEndContext="#stay">
31 <String---Detect attribute="Comment" context="6" String="&lt;!--"/>
32 <StringDetect attribute="Comment" context="#pop" String="--&gt;"/>
33 </context>
34 </contexts>
35 <itemDatas>
36 <itemData name="Normal Text" defStyleNum="dsNormal"/>
37 <itemData name="Keyword" defStyleNum="dsKeyword"/>
38 <itemData name="Identifier" defStyleNum="dsOthers"/>
39 <itemData name="Types" defStyleNum="dsDataType"/>
40 <itemData name="String" defStyleNum="dsString"/>
41 <itemData name="Comment" defStyleNum="dsComment"/>
42 </itemDatas>
43 </highlighting>
44 <general>
45 <comments>
46 <comment name="multiLine" start="&lt;!--" end="//--&gt;" />
47 </comments>
48 <keywords casesensitive="0" />
49 </general>
50</language>
diff --git a/share/tinykate/syntax/idconsole.xml b/share/tinykate/syntax/idconsole.xml
new file mode 100644
index 0000000..ecb9b95
--- a/dev/null
+++ b/share/tinykate/syntax/idconsole.xml
@@ -0,0 +1,2146 @@
1<?xml version="1.0" encoding="UTF-8"?>
2<!DOCTYPE language SYSTEM "language.dtd">
3<language name="Quake, Wolfenstein, Half-Life" version="0.1" kateversion="2.0" section="Games" extensions="*.cfg" mimetype="">
4
5<highlighting>
6<list name="Commands">
7<item> ForceCloseComman </item>
8<item> _config_com_baud </item>
9<item> _config_com_modem </item>
10<item> _vid_default_mode </item>
11<item> _vid_default_mode_win </item>
12<item> _vid_wait_override </item>
13<item> _windowed_mouse </item>
14<item> addip </item>
15<item> addressbook </item>
16<item> adjust_crosshair </item>
17<item> advancedupdate </item>
18<item> allow_download </item>
19<item> allow_download_maps </item>
20<item> allow_download_models </item>
21<item> allow_download_skins </item>
22<item> allow_download_sounds </item>
23<item> allskins </item>
24<item> appenddemo </item>
25<item> autosave </item>
26<item> ban </item>
27<item> banClient </item>
28<item> banUser </item>
29<item> banid </item>
30<item> baseskin </item>
31<item> begin </item>
32<item> bf </item>
33<item> bgetmod </item>
34<item> bindlist </item>
35<item> block_switch </item>
36<item> bottomcolor </item>
37<item> buyNow </item>
38<item> buyequip </item>
39<item> cache_endgather </item>
40<item> cache_flush </item>
41<item> cache_mapchange </item>
42<item> cache_print </item>
43<item> cache_profile </item>
44<item> cache_setindex </item>
45<item> cache_startgather </item>
46<item> cache_usedfile </item>
47<item> cancelselect </item>
48<item> cd </item>
49<item> centerview </item>
50<item> changeVectors </item>
51<item> changelevel </item>
52<item> changelevel2 </item>
53<item> changing </item>
54<item> chase_active </item>
55<item> cinematic </item>
56<item> cl_deadbodyfilter </item>
57<item> cl_gibfilter </item>
58<item> cl_hightrack </item>
59<item> cl_hudswap </item>
60<item> cl_messages </item>
61<item> cl_nodelta </item>
62<item> cl_nolerp </item>
63<item> cl_nopred </item>
64<item> cl_predict_players </item>
65<item> cl_rate </item>
66<item> cl_sbar </item>
67<item> cl_sbar_separator </item>
68<item> cl_shownet </item>
69<item> cl_sidespeed </item>
70<item> cl_solid_players </item>
71<item> cl_warncmd </item>
72<item> cl_writecfg </item>
73<item> clear </item>
74<item> clearplayers </item>
75<item> clientinfo </item>
76<item> clientkick </item>
77<item> cmd </item>
78<item> cmdline </item>
79<item> cmdlist </item>
80<item> color </item>
81<item> commands </item>
82<item> condebug </item>
83<item> condump </item>
84<item> configstrings </item>
85<item> confirm_quit </item>
86<item> connect </item>
87<item> contimes </item>
88<item> coop </item>
89<item> crash </item>
90<item> credits </item>
91<item> cropimages </item>
92<item> crosshair </item>
93<item> cvar_restart </item>
94<item> cvarlist </item>
95<item> d_mipcap </item>
96<item> d_subdiv16 </item>
97<item> deathmatch </item>
98<item> delta_clear </item>
99<item> delta_stats </item>
100<item> demo </item>
101<item> demolist </item>
102<item> demomap </item>
103<item> demos </item>
104<item> developer </item>
105<item> devmap </item>
106<item> dir </item>
107<item> disconnect </item>
108<item> dlfile </item>
109<item> dmoptions </item>
110<item> download </item>
111<item> drawradar </item>
112<item> drop </item>
113<item> dropclient </item>
114<item> dumpuser </item>
115<item> edict </item>
116<item> edictcount </item>
117<item> edicts </item>
118<item> endmovie </item>
119<item> entities </item>
120<item> envmap </item>
121<item> error </item>
122<item> escape </item>
123<item> exec </item>
124<item> exit </item>
125<item> fastsprites </item>
126<item> fdir </item>
127<item> filterban </item>
128<item> firstperson </item>
129<item> floodprot </item>
130<item> floodprotmsg </item>
131<item> flush </item>
132<item> fly </item>
133<item> force_centerview </item>
134<item> fov </item>
135<item> fraglogfile </item>
136<item> freelook </item>
137<item> freeze </item>
138<item> front </item>
139<item> fs_openedList </item>
140<item> fs_referencedList </item>
141<item> fullinfo </item>
142<item> fullserverinfo </item>
143<item> game </item>
144<item> gameCompleteStatus </item>
145<item> gamedir </item>
146<item> gamemap </item>
147<item> gameversion </item>
148<item> getcertificate </item>
149<item> gfxinfo </item>
150<item> gg </item>
151<item> gib </item>
152<item> gibload </item>
153<item> gibstats </item>
154<item> give </item>
155<item> gl_affinemodels </item>
156<item> gl_clear </item>
157<item> gl_colorlights </item>
158<item> gl_constretch </item>
159<item> gl_cull </item>
160<item> gl_dlight_lightmap </item>
161<item> gl_dlight_polyblend </item>
162<item> gl_dlight_smooth </item>
163<item> gl_fb_bmodels </item>
164<item> gl_fb_models </item>
165<item> gl_finish </item>
166<item> gl_fires </item>
167<item> gl_flashblend </item>
168<item> gl_keeptjunctions </item>
169<item> gl_lerp_anim </item>
170<item> gl_lightmode </item>
171<item> gl_max_size </item>
172<item> gl_multitexture </item>
173<item> gl_nobind </item>
174<item> gl_nocolors </item>
175<item> gl_picmip </item>
176<item> gl_playermip </item>
177<item> gl_polyblend </item>
178<item> gl_reportjunctions </item>
179<item> gl_sky_clip </item>
180<item> gl_skymultipass </item>
181<item> gl_smoothmodels </item>
182<item> gl_texsort </item>
183<item> gl_texturemode </item>
184<item> gl_triplebuffer </item>
185<item> gl_ztrick </item>
186<item> globalservers </item>
187<item> god </item>
188<item> gun </item>
189<item> gun_model </item>
190<item> gun_next </item>
191<item> gun_prev </item>
192<item> gunsmoke </item>
193<item> heartbeat </item>
194<item> help </item>
195<item> hideconsole </item>
196<item> hideradar </item>
197<item> host_speeds </item>
198<item> hostname </item>
199<item> hpkextract </item>
200<item> hpklist </item>
201<item> hpkremove </item>
202<item> hpkval </item>
203<item> hud_centerid </item>
204<item> imagelist </item>
205<item> impulse </item>
206<item> imt </item>
207<item> in_bind </item>
208<item> in_paste_buffer </item>
209<item> in_restart </item>
210<item> in_unbind </item>
211<item> info </item>
212<item> interp </item>
213<item> invdrop </item>
214<item> inven </item>
215<item> invnext </item>
216<item> invnextp </item>
217<item> invnextw </item>
218<item> invprev </item>
219<item> invprevp </item>
220<item> invprevw </item>
221<item> invuse </item>
222<item> joinserver </item>
223<item> joy </item>
224<item> joy_advancedupdate </item>
225<item> joy_enable </item>
226<item> joyadvanced </item>
227<item> joyadvancedupdat </item>
228<item> joyadvancedupdate </item>
229<item> joyname </item>
230<item> joystick </item>
231<item> keys </item>
232<item> kick </item>
233<item> kill </item>
234<item> killserver </item>
235<item> lefthand </item>
236<item> link </item>
237<item> list </item>
238<item> listdemo </item>
239<item> listen </item>
240<item> listid </item>
241<item> listip </item>
242<item> listmaps </item>
243<item> load </item>
244<item> loadas8bit </item>
245<item> loadgame </item>
246<item> loading </item>
247<item> loadsky </item>
248<item> loadtranslations </item>
249<item> loc </item>
250<item> localinfo </item>
251<item> localservers </item>
252<item> log </item>
253<item> logaddress </item>
254<item> logfile </item>
255<item> lookspring </item>
256<item> lookstrafe </item>
257<item> m_filter </item>
258<item> main </item>
259<item> map </item>
260<item> map_restart </item>
261<item> maplist </item>
262<item> maps </item>
263<item> maxplayers </item>
264<item> max_smokepuffs </item>
265<item> max_shells </item>
266<item> mcache </item>
267<item> meminfo </item>
268<item> menu </item>
269<item> menu_addressbook </item>
270<item> menu_credits </item>
271<item> menu_help </item>
272<item> menu_keys </item>
273<item> menu_load </item>
274<item> menu_loadgame </item>
275<item> menu_main </item>
276<item> menu_multiplayer </item>
277<item> menu_options </item>
278<item> menu_playerconfig </item>
279<item> menu_quit </item>
280<item> menu_save </item>
281<item> menu_savegame </item>
282<item> menu_setup </item>
283<item> menu_select </item>
284<item> menu_singleplayer </item>
285<item> menu_startserver </item>
286<item> menu_video </item>
287<item> menu_dmoptions </item>
288<item> menu_game </item>
289<item> menu_joinserver </item>
290<item> messagemode </item>
291<item> messagemode2 </item>
292<item> messagemode3 </item>
293<item> messagemode4 </item>
294<item> model </item>
295<item> modelist </item>
296<item> modellist </item>
297<item> msg </item>
298<item> multiplayer </item>
299<item> music </item>
300<item> name </item>
301<item> net_stats </item>
302<item> new </item>
303<item> next </item>
304<item> nextul </item>
305<item> nightvision </item>
306<item> no_pogo_stick </item>
307<item> noaim </item>
308<item> noclip </item>
309<item> noexit </item>
310<item> nomonsters </item>
311<item> noskins </item>
312<item> nosound </item>
313<item> notarget </item>
314<item> options </item>
315<item> packet </item>
316<item> password </item>
317<item> path </item>
318<item> pausable </item>
319<item> pause </item>
320<item> paused </item>
321<item> ping </item>
322<item> pingservers </item>
323<item> play </item>
324<item> playdemo </item>
325<item> playerconfig </item>
326<item> players </item>
327<item> playvol </item>
328<item> pushlatency </item>
329<item> pointfile </item>
330<item> ppdemostart </item>
331<item> pr_boundscheck </item>
332<item> precache </item>
333<item> prespawn </item>
334<item> prev </item>
335<item> profile </item>
336<item> profilequit </item>
337<item> prog </item>
338<item> quit </item>
339<item> r_drawentities </item>
340<item> r_drawflat </item>
341<item> r_draworder </item>
342<item> r_drawviewmodel </item>
343<item> r_dspeeds </item>
344<item> r_dynamic </item>
345<item> r_fullbright </item>
346<item> r_lightmap </item>
347<item> r_netgraph </item>
348<item> r_netgraph_box </item>
349<item> r_norefresh </item>
350<item> r_novis </item>
351<item> r_numedges </item>
352<item> r_numsurfs </item>
353<item> r_particles </item>
354<item> r_polymodelstats </item>
355<item> r_reportsurfout </item>
356<item> r_shadows </item>
357<item> r_speeds </item>
358<item> r_timegraph </item>
359<item> r_wateralpha </item>
360<item> r_waterripple </item>
361<item> r_waterwarp </item>
362<item> r_zgraph </item>
363<item> rcon </item>
364<item> rcon_password </item>
365<item> reconnect </item>
366<item> record </item>
367<item> registered </item>
368<item> reload </item>
369<item> removedemo </item>
370<item> removeid </item>
371<item> removeip </item>
372<item> rerecord </item>
373<item> reset </item>
374<item> resetrcon </item>
375<item> restart </item>
376<item> retry </item>
377<item> s_disable_a3d </item>
378<item> s_enable_a3d </item>
379<item> s_info </item>
380<item> s_list </item>
381<item> s_stop </item>
382<item> samelevel </item>
383<item> save </item>
384<item> savegame </item>
385<item> savetranslations </item>
386<item> score </item>
387<item> screenshot </item>
388<item> screenshotJPEG </item>
389<item> sectorlist </item>
390<item> sendents </item>
391<item> serverinfo </item>
392<item> serverprofile </item>
393<item> serverrecord </item>
394<item> serverstatus </item>
395<item> serverstop </item>
396<item> setRecommended </item>
397<item> setdemoinfo </item>
398<item> setenv </item>
399<item> setinfo </item>
400<item> setmaster </item>
401<item> setrom </item>
402<item> shaderlist </item>
403<item> show_fps </item>
404<item> show_time </item>
405<item> showdrop </item>
406<item> showinfo </item>
407<item> showip </item>
408<item> showpackets </item>
409<item> showpause </item>
410<item> showram </item>
411<item> showturtle </item>
412<item> shutdownserver </item>
413<item> singlePlayLink </item>
414<item> sizedown </item>
415<item> sizeup </item>
416<item> skill </item>
417<item> skin </item>
418<item> skinlist </item>
419<item> skins </item>
420<item> sky </item>
421<item> skyboxlist </item>
422<item> slist </item>
423<item> slot1 </item>
424<item> slot10 </item>
425<item> slot2 </item>
426<item> slot3 </item>
427<item> slot4 </item>
428<item> slot5 </item>
429<item> slot6 </item>
430<item> slot7 </item>
431<item> slot8 </item>
432<item> slot9 </item>
433<item> snap </item>
434<item> snapall </item>
435<item> snapshot </item>
436<item> snapto </item>
437<item> snd </item>
438<item> snd_noextraupdate </item>
439<item> snd_restart </item>
440<item> snd_show </item>
441<item> soundfade </item>
442<item> soundinfo </item>
443<item> soundlist </item>
444<item> spawn </item>
445<item> spdevmap </item>
446<item> speak </item>
447<item> special </item>
448<item> specmode </item>
449<item> spectator </item>
450<item> spectator_password </item>
451<item> spk </item>
452<item> spmap </item>
453<item> startLimboMode </item>
454<item> startSingleplayer </item>
455<item> startdemos </item>
456<item> startmovie </item>
457<item> startserver </item>
458<item> stat </item>
459<item> stats </item>
460<item> status </item>
461<item> stop </item>
462<item> stopLimboMode </item>
463<item> stopdemo </item>
464<item> stoprecord </item>
465<item> stopsound </item>
466<item> stopul </item>
467<item> streamingsound </item>
468<item> stuffcmd </item>
469<item> stuffcmds </item>
470<item> sv </item>
471<item> sv_allow_log </item>
472<item> sv_allow_pings </item>
473<item> sv_allow_status </item>
474<item> sv_gamedir </item>
475<item> sv_highchars </item>
476<item> sv_mapcheck </item>
477<item> sv_nostep </item>
478<item> sv_spectatormaxspeed </item>
479<item> sv_spetalk </item>
480<item> sv_maplist </item>
481<item> swapdemo </item>
482<item> sys_cpuid </item>
483<item> sys_dead_sleep </item>
484<item> sys_extrasleep </item>
485<item> sys_nostdout </item>
486<item> systeminfo </item>
487<item> taginfo </item>
488<item> team </item>
489<item> teamplay </item>
490<item> tell </item>
491<item> test </item>
492<item> test2 </item>
493<item> time </item>
494<item> thirdperson </item>
495<item> timedemo </item>
496<item> timeleft </item>
497<item> timerefresh </item>
498<item> toggle </item>
499<item> togglebrowser </item>
500<item> togglechat </item>
501<item> toggleconsole </item>
502<item> togglemenu </item>
503<item> topcolor </item>
504<item> touchFile </item>
505<item> trackplayer </item>
506<item> ui_restart </item>
507<item> unalias </item>
508<item> unbindall </item>
509<item> updatehunkusage </item>
510<item> updatescreen </item>
511<item> upload </item>
512<item> use </item>
513<item> user </item>
514<item> userinfo </item>
515<item> users </item>
516<item> v_centerspeed </item>
517<item> v_cshift </item>
518<item> v_idlescale </item>
519<item> version </item>
520<item> vid </item>
521<item> vid_center </item>
522<item> vid_config_x </item>
523<item> vid_describecurrentmode </item>
524<item> vid_describemode </item>
525<item> vid_describemodes </item>
526<item> vid_forcemode </item>
527<item> vid_fullscreen </item>
528<item> vid_fullscreen_mode </item>
529<item> vid_minimize </item>
530<item> vid_nopageflip </item>
531<item> vid_nummodes </item>
532<item> vid_restart </item>
533<item> vid_stretch_by_2 </item>
534<item> vid_testmode </item>
535<item> vid_windowed </item>
536<item> vid_windowed_mode </item>
537<item> vid_front </item>
538<item> video </item>
539<item> viewframe </item>
540<item> viewmodel </item>
541<item> viewnext </item>
542<item> viewpos </item>
543<item> viewprev </item>
544<item> vminfo </item>
545<item> vmprofile </item>
546<item> voice_showbanned </item>
547<item> votemap </item>
548<item> vstr </item>
549<item> wait </item>
550<item> watervis </item>
551<item> wave </item>
552<item> weapon </item>
553<item> weapon_knife </item>
554<item> weaplast </item>
555<item> weapnext </item>
556<item> weapprev </item>
557<item> windowsr_drawentities </item>
558<item> writecfg </item>
559<item> writeconfig </item>
560<item> writeid </item>
561<item> writeip </item>
562<item> z_stats </item>
563</list>
564
565
566<list name="Variables">
567<item> ah </item>
568<item> ActiveAction </item>
569<item> _cl_color </item>
570<item> _cl_name </item>
571<item> _config_com_baud </item>
572<item> _config_com_irq </item>
573<item> _config_com_modem </item>
574<item> _config_com_port </item>
575<item> _config_modem_clear </item>
576<item> _config_modem_dialtype </item>
577<item> _config_modem_hangup </item>
578<item> _config_modem_init </item>
579<item> _snd_mixahead </item>
580<item> _vid_default_mode </item>
581<item> _vid_default_mode_win </item>
582<item> _vid_wait_override </item>
583<item> _windowed_mouse </item>
584<item> address </item>
585<item> adr </item>
586<item> adr0 </item>
587<item> adr1 </item>
588<item> adr2 </item>
589<item> adr3 </item>
590<item> adr4 </item>
591<item> adr5 </item>
592<item> adr6 </item>
593<item> adr7 </item>
594<item> adr8 </item>
595<item> advanced </item>
596<item> advaxisr </item>
597<item> advaxisu </item>
598<item> advaxisv </item>
599<item> advaxisx </item>
600<item> advaxisy </item>
601<item> advaxisz </item>
602<item> airaccelerate </item>
603<item> allow </item>
604<item> allow_download_players </item>
605<item> ambient_fade </item>
606<item> ambient_level </item>
607<item> anglespeedkey </item>
608<item> arch </item>
609<item> array </item>
610<item> arrays </item>
611<item> att </item>
612<item> auto </item>
613<item> autoskins </item>
614<item> b </item>
615<item> bgmbuffer </item>
616<item> bgmvolume </item>
617<item> bit </item>
618<item> bitdepth </item>
619<item> blend </item>
620<item> bob </item>
621<item> bob_pitch </item>
622<item> bob_roll </item>
623<item> bob_up </item>
624<item> bot_aasoptimize </item>
625<item> bot_challenge </item>
626<item> bot_debug </item>
627<item> bot_developer </item>
628<item> bot_enable </item>
629<item> bot_fastchat </item>
630<item> bot_forceclustering </item>
631<item> bot_forcereachability </item>
632<item> bot_forcewrite </item>
633<item> bot_grapple </item>
634<item> bot_groundonly </item>
635<item> bot_interbreedbots </item>
636<item> bot_interbreedchar </item>
637<item> bot_interbreedcycle </item>
638<item> bot_interbreedwrite </item>
639<item> bot_maxdebugpolys </item>
640<item> bot_miniplayers </item>
641<item> bot_minplayers </item>
642<item> bot_nochat </item>
643<item> bot_pause </item>
644<item> bot_reachability </item>
645<item> bot_reloadcharacters </item>
646<item> bot_report </item>
647<item> bot_rocketjump </item>
648<item> bot_saveroutingcache </item>
649<item> bot_testclusters </item>
650<item> bot_testichat </item>
651<item> bot_testrchat </item>
652<item> bot_testsolid </item>
653<item> bot_thinktime </item>
654<item> bot_visualizejumppads </item>
655<item> brighten </item>
656<item> brightness </item>
657<item> broken </item>
658<item> cd </item>
659<item> cd_loopcount </item>
660<item> cd_looptrack </item>
661<item> cd_nocd </item>
662<item> cd_plugin </item>
663<item> centermove </item>
664<item> centerspeed </item>
665<item> centertime </item>
666<item> cg_autoactivate </item>
667<item> cg_autoswitch </item>
668<item> cg_blinktime </item>
669<item> cg_bloodTime </item>
670<item> cg_bobpitch </item>
671<item> cg_bobroll </item>
672<item> cg_bobup </item>
673<item> cg_brassTime </item>
674<item> cg_cameraOrbitDelay </item>
675<item> cg_clipboardName </item>
676<item> cg_coronafardist </item>
677<item> cg_coronas </item>
678<item> cg_crosshairAlpha </item>
679<item> cg_crosshairHealth </item>
680<item> cg_crosshairSize </item>
681<item> cg_crosshairX </item>
682<item> cg_crosshairY </item>
683<item> cg_currentSelectedPlayer </item>
684<item> cg_currentSelectedPlayerName </item>
685<item> cg_cursorHints </item>
686<item> cg_cycleAllWeaps </item>
687<item> cg_deferPlayers </item>
688<item> cg_descriptiveText </item>
689<item> cg_draw2D </item>
690<item> cg_draw3dIcons </item>
691<item> cg_drawAllWeaps </item>
692<item> cg_drawAmmoWarning </item>
693<item> cg_drawAttacker </item>
694<item> cg_drawCompass </item>
695<item> cg_drawCrosshair </item>
696<item> cg_drawCrosshairNames </item>
697<item> cg_drawCrosshairPickups </item>
698<item> cg_drawFPGun </item>
699<item> cg_drawFPS </item>
700<item> cg_drawFrags </item>
701<item> cg_drawGun </item>
702<item> cg_drawIcons </item>
703<item> cg_drawNotifyText </item>
704<item> cg_drawRewards </item>
705<item> cg_drawSnapshot </item>
706<item> cg_drawSpreadScale </item>
707<item> cg_drawStatus </item>
708<item> cg_drawTeamOverlay </item>
709<item> cg_drawTimer </item>
710<item> cg_emptyswitch </item>
711<item> cg_fov </item>
712<item> cg_forcemodel </item>
713<item> cg_gibs </item>
714<item> cg_hudAlpha </item>
715<item> cg_hudFiles </item>
716<item> cg_lagometer </item>
717<item> cg_marks </item>
718<item> cg_marktime </item>
719<item> cg_noplayeranims </item>
720<item> cg_nopredict </item>
721<item> cg_noTaunt </item>
722<item> cg_noVoiceChats </item>
723<item> cg_noVoiceText </item>
724<item> cg_particleDist </item>
725<item> cg_particleLOD </item>
726<item> cg_popupLimboMenu </item>
727<item> cg_predictItems </item>
728<item> cg_quickMessageAlt </item>
729<item> cg_railTrailTime </item>
730<item> cg_recoilPitch </item>
731<item> cg_reticleBrightness </item>
732<item> cg_reticleType </item>
733<item> cg_runpitch </item>
734<item> cg_runroll </item>
735<item> cg_scorePlums </item>
736<item> cg_selectedPlayer </item>
737<item> cg_selectedPlayerName </item>
738<item> cg_shadows </item>
739<item> cg_showblood </item>
740<item> cg_simpleItems </item>
741<item> cg_skybox </item>
742<item> cg_stereoSeparation </item>
743<item> cg_teamChatHeight </item>
744<item> cg_teamChatTime </item>
745<item> cg_teamChatsOnly </item>
746<item> cg_thirdperson </item>
747<item> cg_thirdpersonrange </item>
748<item> cg_thirdPersonAngle </item>
749<item> cg_useWeapsForZoom </item>
750<item> cg_uselessNostalgia </item>
751<item> cg_viewsize </item>
752<item> cg_voiceSpriteTime </item>
753<item> cg_weaponCycleDelay </item>
754<item> cg_wolfparticles </item>
755<item> cg_zoomDefaultBinoc </item>
756<item> cg_zoomDefaultFG </item>
757<item> cg_zoomDefaultSniper </item>
758<item> cg_zoomDefaultSnooper </item>
759<item> cg_zoomStepBinoc </item>
760<item> cg_zoomStepFG </item>
761<item> cg_zoomStepSnooper </item>
762<item> cg_zoomfov </item>
763<item> cg_zoomstepsniper </item>
764<item> chase_active </item>
765<item> chase_back </item>
766<item> chase_right </item>
767<item> chase_up </item>
768<item> cheats </item>
769<item> cl </item>
770<item> cl_allowDownload </item>
771<item> cl_anglespeedkey </item>
772<item> cl_anonymous </item>
773<item> cl_autoexec </item>
774<item> cl_autoskins </item>
775<item> cl_avidemo </item>
776<item> cl_backspeed </item>
777<item> cl_blend </item>
778<item> cl_bob </item>
779<item> cl_bobcycle </item>
780<item> cl_bobup </item>
781<item> cl_bypassMouseInput </item>
782<item> cl_cacheGathering </item>
783<item> cl_camera_maxpitch </item>
784<item> cl_camera_maxyaw </item>
785<item> cl_chasecam </item>
786<item> cl_chatmode </item>
787<item> cl_conXOffset </item>
788<item> cl_crossx </item>
789<item> cl_crossy </item>
790<item> cl_cshift_bonus </item>
791<item> cl_cshift_content </item>
792<item> cl_cshift_damage </item>
793<item> cl_cshift_powerup </item>
794<item> cl_debugMove </item>
795<item> cl_debugTranslation </item>
796<item> cl_demospeed </item>
797<item> cl_entities </item>
798<item> cl_footsteps </item>
799<item> cl_forceavidemo </item>
800<item> cl_forwardspeed </item>
801<item> cl_freelook </item>
802<item> cl_freezeDemo </item>
803<item> cl_gun </item>
804<item> cl_hidefrags </item>
805<item> cl_hightrack </item>
806<item> cl_hudswap </item>
807<item> cl_language </item>
808<item> cl_lights </item>
809<item> cl_maxPing </item>
810<item> cl_maxfps </item>
811<item> cl_maxpackets </item>
812<item> cl_motd </item>
813<item> cl_motdString </item>
814<item> cl_mouseAccel </item>
815<item> cl_movespeedkey </item>
816<item> cl_nodelta </item>
817<item> cl_nofake </item>
818<item> cl_nolerp </item>
819<item> cl_nopred </item>
820<item> cl_noprint </item>
821<item> cl_noskins </item>
822<item> cl_packetdup </item>
823<item> cl_parsesay </item>
824<item> cl_particles </item>
825<item> cl_paused </item>
826<item> cl_pitchspeed </item>
827<item> cl_predict </item>
828<item> cl_predict_players </item>
829<item> cl_predict_players2 </item>
830<item> cl_observercrosshair </item>
831<item> cl_quakerc </item>
832<item> cl_rollangle </item>
833<item> cl_rollspeed </item>
834<item> cl_run </item>
835<item> cl_running </item>
836<item> cl_serverStatusResendTime </item>
837<item> cl_showfps </item>
838<item> cl_showSend </item>
839<item> cl_showServerCommands </item>
840<item> cl_showTimeDelta </item>
841<item> cl_showmiss </item>
842<item> cl_showmouserate </item>
843<item> cl_shownet </item>
844<item> cl_shownuments </item>
845<item> cl_sidespeed </item>
846<item> cl_stats </item>
847<item> cl_stereo </item>
848<item> cl_stereo_separation </item>
849<item> cl_testblend </item>
850<item> cl_testentities </item>
851<item> cl_testlights </item>
852<item> cl_testparticles </item>
853<item> cl_timeNudge </item>
854<item> cl_timeout </item>
855<item> cl_upspeed </item>
856<item> cl_verstring </item>
857<item> cl_visibleClients </item>
858<item> cl_vwep </item>
859<item> cl_waitForFire </item>
860<item> cl_wavefilerecord </item>
861<item> cl_yawspeed </item>
862<item> clear </item>
863<item> clearcolor </item>
864<item> clientport </item>
865<item> cm_playerCurveClip </item>
866<item> cmd_highchars </item>
867<item> cmd_warncmd </item>
868<item> cmdlist </item>
869<item> color </item>
870<item> color1 </item>
871<item> color2 </item>
872<item> com_blood </item>
873<item> com_buildScript </item>
874<item> com_cameraMode </item>
875<item> com_dropsim </item>
876<item> com_hunkMegs </item>
877<item> com_hunkused </item>
878<item> com_introplayed </item>
879<item> com_maxfps </item>
880<item> com_recommendedSet </item>
881<item> com_showtrace </item>
882<item> com_soundMegs </item>
883<item> com_speeds </item>
884<item> com_zoneMegs </item>
885<item> compiled </item>
886<item> con_debug </item>
887<item> con_notifytime </item>
888<item> con_restricted </item>
889<item> conspeed </item>
890<item> contrast </item>
891<item> coop </item>
892<item> crosshair </item>
893<item> crosshaircolor </item>
894<item> cull </item>
895<item> d_mipcap </item>
896<item> d_mipscale </item>
897<item> deathmatch </item>
898<item> debug_protocol </item>
899<item> debuggraph </item>
900<item> dedicated </item>
901<item> devdll </item>
902<item> developer </item>
903<item> dlabs </item>
904<item> dmflags </item>
905<item> dm </item>
906<item> down </item>
907<item> download </item>
908<item> drawall </item>
909<item> drawbuffer </item>
910<item> drawentities </item>
911<item> drawflat </item>
912<item> draworder </item>
913<item> drawworld </item>
914<item> driver </item>
915<item> dspeeds </item>
916<item> dynamic </item>
917<item> easter_eggs </item>
918<item> edgefriction </item>
919<item> empty </item>
920<item> enforcetime </item>
921<item> entities </item>
922<item> entlatency </item>
923<item> ext </item>
924<item> filter </item>
925<item> filterban </item>
926<item> finish </item>
927<item> fixedtime </item>
928<item> flashblend </item>
929<item> flood </item>
930<item> flood_msgs </item>
931<item> flood_persecond </item>
932<item> flood_waitdelay </item>
933<item> flushmap </item>
934<item> footsteps </item>
935<item> forward </item>
936<item> forwardsensitivity </item>
937<item> forwardspeed </item>
938<item> forwardthreshold </item>
939<item> fov </item>
940<item> fraglimit </item>
941<item> freelook </item>
942<item> fs_basegame </item>
943<item> fs_basepath </item>
944<item> fs_cdpath </item>
945<item> fs_copyfiles </item>
946<item> fs_debug </item>
947<item> fs_game </item>
948<item> fs_globalcfg </item>
949<item> fs_homepath </item>
950<item> fs_pluginpath </item>
951<item> fs_restrict </item>
952<item> fs_sharepath </item>
953<item> fs_skinbase </item>
954<item> fs_usercfg </item>
955<item> fs_userpath </item>
956<item> fullbright </item>
957<item> fullscreen </item>
958<item> g_allowvote </item>
959<item> g_altStopwatchMode </item>
960<item> g_arenasFile </item>
961<item> g_blueTeam </item>
962<item> g_botsFile </item>
963<item> g_complaintlimit </item>
964<item> g_currentRound </item>
965<item> g_friendlyFire </item>
966<item> g_gameskill </item>
967<item> g_gametype </item>
968<item> g_maxlives </item>
969<item> g_minGameClients </item>
970<item> g_missionStats </item>
971<item> g_nextTimeLimit </item>
972<item> g_noTeamSwitching </item>
973<item> g_redTeam </item>
974<item> g_select_empty </item>
975<item> g_spAwards </item>
976<item> g_spScores1 </item>
977<item> g_spScores2 </item>
978<item> g_spScores3 </item>
979<item> g_spScores4 </item>
980<item> g_spScores5 </item>
981<item> g_spSkill </item>
982<item> g_spVideos </item>
983<item> g_userAlliedRespawnTime </item>
984<item> g_userAxisRespawnTime </item>
985<item> g_userTimeLimit </item>
986<item> game </item>
987<item> gamecfg </item>
988<item> gamedate </item>
989<item> gamedir </item>
990<item> gamename </item>
991<item> gamestate </item>
992<item> gamma </item>
993<item> gender </item>
994<item> gender_auto </item>
995<item> gl_3dlabs_broken </item>
996<item> gl_allow_software </item>
997<item> gl_bitdepth </item>
998<item> gl_clear </item>
999<item> gl_conalpha </item>
1000<item> gl_conspin </item>
1001<item> gl_cshiftpercent </item>
1002<item> gl_cull </item>
1003<item> gl_drawbuffer </item>
1004<item> gl_driver </item>
1005<item> gl_dynamic </item>
1006<item> gl_ext_compiled_vertex_array </item>
1007<item> gl_ext_multitexture </item>
1008<item> gl_ext_palettedtexture </item>
1009<item> gl_ext_pointparameters </item>
1010<item> gl_ext_swapinterval </item>
1011<item> gl_finish </item>
1012<item> gl_flashblend </item>
1013<item> gl_keeptjunctions </item>
1014<item> gl_lightmap </item>
1015<item> gl_lightmap_align </item>
1016<item> gl_lightmap_subimage </item>
1017<item> gl_lockpvs </item>
1018<item> gl_log </item>
1019<item> gl_max_size </item>
1020<item> gl_mesh_cache </item>
1021<item> gl_mode </item>
1022<item> gl_modulate </item>
1023<item> gl_monolightmap </item>
1024<item> gl_nobind </item>
1025<item> gl_nocolors </item>
1026<item> gl_nosubimage </item>
1027<item> gl_occlusion </item>
1028<item> gl_particle_att_a </item>
1029<item> gl_particle_att_b </item>
1030<item> gl_particle_att_c </item>
1031<item> gl_particle_max_size </item>
1032<item> gl_particle_min_size </item>
1033<item> gl_particle_mip </item>
1034<item> gl_particle_size </item>
1035<item> gl_picmip </item>
1036<item> gl_playermip </item>
1037<item> gl_polyblend </item>
1038<item> gl_reporttjunctions </item>
1039<item> gl_round_down </item>
1040<item> gl_saturatelighting </item>
1041<item> gl_screenshot_byte_swap </item>
1042<item> gl_shadows </item>
1043<item> gl_showtris </item>
1044<item> gl_sky_debug </item>
1045<item> gl_sky_divide </item>
1046<item> gl_skymip </item>
1047<item> gl_smoothmodels </item>
1048<item> gl_subdivide_size </item>
1049<item> gl_swapinterval </item>
1050<item> gl_texsort </item>
1051<item> gl_texturealphamode </item>
1052<item> gl_texturemode </item>
1053<item> gl_texturesolidmode </item>
1054<item> gl_triplebuffer </item>
1055<item> gl_vertex_arrays </item>
1056<item> gl_ztrick </item>
1057<item> graphheight </item>
1058<item> graphscale </item>
1059<item> graphshift </item>
1060<item> gravity </item>
1061<item> gun </item>
1062<item> gun_x </item>
1063<item> gun_y </item>
1064<item> gun_z </item>
1065<item> hand </item>
1066<item> handicap </item>
1067<item> head </item>
1068<item> headModel </item>
1069<item> headmodel </item>
1070<item> host </item>
1071<item> host_framerate </item>
1072<item> host_speeds </item>
1073<item> hostname </item>
1074<item> hostport </item>
1075<item> hud_fastswitch </item>
1076<item> in </item>
1077<item> in_amp </item>
1078<item> in_bind_imt </item>
1079<item> in_debugjoystick </item>
1080<item> in_dga </item>
1081<item> in_dga_mouseaccel </item>
1082<item> in_dgamouse </item>
1083<item> in_grab </item>
1084<item> in_joystick </item>
1085<item> in_midi </item>
1086<item> in_mouse </item>
1087<item> in_mouse_amp </item>
1088<item> in_mouse_filter </item>
1089<item> in_mouse_pre_amp </item>
1090<item> in_pre_amp </item>
1091<item> initsound </item>
1092<item> intensity </item>
1093<item> ip </item>
1094<item> ip_clientport </item>
1095<item> ip_hostport </item>
1096<item> ipx </item>
1097<item> ipx_clientport </item>
1098<item> ipx_hostport </item>
1099<item> journal </item>
1100<item> joy </item>
1101<item> joy_advanced </item>
1102<item> joy_advaxisr </item>
1103<item> joy_advaxisu </item>
1104<item> joy_advaxisv </item>
1105<item> joy_advaxisx </item>
1106<item> joy_advaxisy </item>
1107<item> joy_advaxisz </item>
1108<item> joy_amp </item>
1109<item> joy_device </item>
1110<item> joy_forwardsensitivity </item>
1111<item> joy_forwardthreshold </item>
1112<item> joy_name </item>
1113<item> joy_pitchsensitivity </item>
1114<item> joy_pitchthreshold </item>
1115<item> joy_pre_amp </item>
1116<item> joy_sensitivity </item>
1117<item> joy_sidesensitivity </item>
1118<item> joy_sidethreshold </item>
1119<item> joy_threshold </item>
1120<item> joy_upsensitivity </item>
1121<item> joy_upthreshold </item>
1122<item> joy_yawsensitivity </item>
1123<item> joy_yawthreshold </item>
1124<item> joyadvanced </item>
1125<item> joyadvaxisr </item>
1126<item> joyadvaxisu </item>
1127<item> joyadvaxisv </item>
1128<item> joyadvaxisx </item>
1129<item> joyadvaxisy </item>
1130<item> joyadvaxisz </item>
1131<item> joyaxis1 </item>
1132<item> joyaxis2 </item>
1133<item> joyaxis3 </item>
1134<item> joyaxis4 </item>
1135<item> joyaxis5 </item>
1136<item> joyaxis6 </item>
1137<item> joyaxis7 </item>
1138<item> joyaxis8 </item>
1139<item> joyforwardsensitivity </item>
1140<item> joyforwardthreshold </item>
1141<item> joyname </item>
1142<item> joypitchsensitivity </item>
1143<item> joypitchthreshold </item>
1144<item> joysidesensitivity </item>
1145<item> joysidethreshold </item>
1146<item> joystick </item>
1147<item> joywwhack1 </item>
1148<item> joywwhack2 </item>
1149<item> joyyawsensitivity </item>
1150<item> joyyawthreshold </item>
1151<item> khz </item>
1152<item> lcd_x </item>
1153<item> lcd_yaw </item>
1154<item> lerpmodels </item>
1155<item> lightmap </item>
1156<item> lights </item>
1157<item> limit </item>
1158<item> listen </item>
1159<item> loadas </item>
1160<item> loadas8bit </item>
1161<item> localid </item>
1162<item> lockpvs </item>
1163<item> log </item>
1164<item> log_stats </item>
1165<item> logfile </item>
1166<item> lookspring </item>
1167<item> lookstrafe </item>
1168<item> loopcount </item>
1169<item> looptrack </item>
1170<item> m_filter </item>
1171<item> m_forward </item>
1172<item> m_pitch </item>
1173<item> m_side </item>
1174<item> m_yaw </item>
1175<item> mapname </item>
1176<item> maps </item>
1177<item> max </item>
1178<item> maxclients </item>
1179<item> maxedges </item>
1180<item> maxentities </item>
1181<item> maxfps </item>
1182<item> maxplayers </item>
1183<item> maxspectators </item>
1184<item> maxsurfs </item>
1185<item> maxvelocity </item>
1186<item> min </item>
1187<item> mipcap </item>
1188<item> mipscale </item>
1189<item> mixahead </item>
1190<item> mode </item>
1191<item> model </item>
1192<item> models </item>
1193<item> modex </item>
1194<item> modulate </item>
1195<item> monolightmap </item>
1196<item> mouse </item>
1197<item> mp_currentPlayerType </item>
1198<item> mp_currentTeam </item>
1199<item> mp_playerType </item>
1200<item> mp_team </item>
1201<item> mp_weapon </item>
1202<item> mp_autokick </item>
1203<item> mp_autoteambalance </item>
1204<item> mp_c4timer </item>
1205<item> mp_flashlight </item>
1206<item> mp_footsteps </item>
1207<item> mp_forcechasecam </item>
1208<item> mp_freezetime </item>
1209<item> mp_friendlyfire </item>
1210<item> mp_hostagepenalty </item>
1211<item> mp_limitteams </item>
1212<item> mp_logmessages </item>
1213<item> mp_mapvoteration </item>
1214<item> mp_roundtime </item>
1215<item> mp_timelimit </item>
1216<item> mp_tkpunish </item>
1217<item> msg </item>
1218<item> msgs </item>
1219<item> multitexture </item>
1220<item> name </item>
1221<item> net_graph </item>
1222<item> net_ip </item>
1223<item> net_messagetimeout </item>
1224<item> net_noudp </item>
1225<item> net_port </item>
1226<item> net_qport </item>
1227<item> net_restart </item>
1228<item> netdosexpire </item>
1229<item> netdosvalues </item>
1230<item> netgraph </item>
1231<item> nextdemo </item>
1232<item> nextmap </item>
1233<item> nextserver </item>
1234<item> noalttab </item>
1235<item> nobind </item>
1236<item> nocd </item>
1237<item> nocull </item>
1238<item> nodelta </item>
1239<item> noexit </item>
1240<item> nomonsters </item>
1241<item> norefresh </item>
1242<item> noreload </item>
1243<item> noskins </item>
1244<item> nosound </item>
1245<item> nosubimage </item>
1246<item> novis </item>
1247<item> palettedtexture </item>
1248<item> particle </item>
1249<item> particles </item>
1250<item> password </item>
1251<item> pausable </item>
1252<item> persecond </item>
1253<item> picmip </item>
1254<item> pitch </item>
1255<item> pitchsensitivity </item>
1256<item> pitchspeed </item>
1257<item> pitchthreshold </item>
1258<item> playermip </item>
1259<item> players </item>
1260<item> pointparameters </item>
1261<item> polyblend </item>
1262<item> polymodelstats </item>
1263<item> port </item>
1264<item> pr_checkextensions </item>
1265<item> pr_deadbeef_ents </item>
1266<item> pr_deadbeef_locals </item>
1267<item> pr_debug </item>
1268<item> pr_source_path </item>
1269<item> precache </item>
1270<item> predict </item>
1271<item> primary </item>
1272<item> printspeed </item>
1273<item> protocol </item>
1274<item> public </item>
1275<item> pushlatency </item>
1276<item> qport </item>
1277<item> r_aliastransadj </item>
1278<item> r_aliastransbase </item>
1279<item> r_allowExtensions </item>
1280<item> r_allowSoftwareGL </item>
1281<item> r_ambient </item>
1282<item> r_ambientScale </item>
1283<item> r_bonesDebug </item>
1284<item> r_cache </item>
1285<item> r_cacheModels </item>
1286<item> r_cacheShaders </item>
1287<item> r_clear </item>
1288<item> r_clearcolor </item>
1289<item> r_colorMipLevels </item>
1290<item> r_colorbits </item>
1291<item> r_compressModels </item>
1292<item> r_customaspect </item>
1293<item> r_customheight </item>
1294<item> r_customwidth </item>
1295<item> r_debugSort </item>
1296<item> r_debugSurface </item>
1297<item> r_debuglight </item>
1298<item> r_depthbits </item>
1299<item> r_detailtextures </item>
1300<item> r_directedScale </item>
1301<item> r_displayRefresh </item>
1302<item> r_dlightBacks </item>
1303<item> r_dlight_lightmap </item>
1304<item> r_dlight_max </item>
1305<item> r_drawBuffer </item>
1306<item> r_drawSun </item>
1307<item> r_drawentities </item>
1308<item> r_drawexplosions </item>
1309<item> r_drawflat </item>
1310<item> r_draworder </item>
1311<item> r_drawviewmodel </item>
1312<item> r_drawworld </item>
1313<item> r_dspeeds </item>
1314<item> r_dynamic </item>
1315<item> r_dynamiclight </item>
1316<item> r_explosionclip </item>
1317<item> r_exportCompressedModels </item>
1318<item> r_ext_compiled_vertex_array </item>
1319<item> r_ext_compress_textures </item>
1320<item> r_ext_compressed_textures </item>
1321<item> r_ext_gamma_control </item>
1322<item> r_ext_multitexture </item>
1323<item> r_ext_texture_env_add </item>
1324<item> r_facePlaneCull </item>
1325<item> r_fastsky </item>
1326<item> r_finish </item>
1327<item> r_firecolor </item>
1328<item> r_flareFade </item>
1329<item> r_flareSize </item>
1330<item> r_flares </item>
1331<item> r_fullbright </item>
1332<item> r_fullscreen </item>
1333<item> r_gamma </item>
1334<item> r_glDriver </item>
1335<item> r_glIgnoreWicked3D </item>
1336<item> r_graphheight </item>
1337<item> r_highQualit </item>
1338<item> r_highQualityVideo </item>
1339<item> r_ignore </item>
1340<item> r_ignoreFastPath </item>
1341<item> r_ignoreGLErrors </item>
1342<item> r_ignorehwgamma </item>
1343<item> r_inGameVideo </item>
1344<item> r_intensity </item>
1345<item> r_lastValidRenderer </item>
1346<item> r_lerpmodels </item>
1347<item> r_lightmap </item>
1348<item> r_lightmap_components </item>
1349<item> r_lockpvs </item>
1350<item> r_lodCurveError </item>
1351<item> r_lodbias </item>
1352<item> r_lodscale </item>
1353<item> r_logFile </item>
1354<item> r_lowMemTextureSize </item>
1355<item> r_lowMemTextureThreshold </item>
1356<item> r_mapOverBrightBits </item>
1357<item> r_maxedges </item>
1358<item> r_maxpolys </item>
1359<item> r_maxpolyverts </item>
1360<item> r_maxsurfs </item>
1361<item> r_measureOverdraw </item>
1362<item> r_mirroralpha </item>
1363<item> r_mode </item>
1364<item> r_netgraph </item>
1365<item> r_netgraph_alpha </item>
1366<item> r_nobind </item>
1367<item> r_nocull </item>
1368<item> r_nocurves </item>
1369<item> r_noportals </item>
1370<item> r_norefresh </item>
1371<item> r_novis </item>
1372<item> r_numedges </item>
1373<item> r_numsurfs </item>
1374<item> r_offsetfactor </item>
1375<item> r_offsetunits </item>
1376<item> r_overBrightBits </item>
1377<item> r_particles_max </item>
1378<item> r_particles_style </item>
1379<item> r_picmip </item>
1380<item> r_picmip2 </item>
1381<item> r_polymodelstats </item>
1382<item> r_portalOnly </item>
1383<item> r_preloadTextures </item>
1384<item> r_previousglDriver </item>
1385<item> r_primitives </item>
1386<item> r_printShaders </item>
1387<item> r_railCoreWidth </item>
1388<item> r_railSegmentLength </item>
1389<item> r_railWidth </item>
1390<item> r_reportedgeout </item>
1391<item> r_reportsurfout </item>
1392<item> r_rmse </item>
1393<item> r_roundImagesDown </item>
1394<item> r_saveFontData </item>
1395<item> r_shadows </item>
1396<item> r_showImages </item>
1397<item> r_showSmp </item>
1398<item> r_showcluster </item>
1399<item> r_shownormals </item>
1400<item> r_showsky </item>
1401<item> r_showtris </item>
1402<item> r_simpleMipMaps </item>
1403<item> r_singleShader </item>
1404<item> r_skipBackEnd </item>
1405<item> r_skyname </item>
1406<item> r_smp </item>
1407<item> r_speeds </item>
1408<item> r_stencilbits </item>
1409<item> r_stereo </item>
1410<item> r_subdivisions </item>
1411<item> r_swapInterval </item>
1412<item> r_textureMode </item>
1413<item> r_texturebits </item>
1414<item> r_timegraph </item>
1415<item> r_uiFullScreen </item>
1416<item> r_verbose </item>
1417<item> r_vertexLight </item>
1418<item> r_wateralpha </item>
1419<item> r_waterwarp </item>
1420<item> r_wolffog </item>
1421<item> r_zfar </item>
1422<item> r_znear </item>
1423<item> rate </item>
1424<item> rcon </item>
1425<item> rconAddress </item>
1426<item> rconPassword </item>
1427<item> rcon_address </item>
1428<item> rcon_password </item>
1429<item> reconnect </item>
1430<item> ref </item>
1431<item> registered </item>
1432<item> reportedgeout </item>
1433<item> reportsurfout </item>
1434<item> roll </item>
1435<item> rollangle </item>
1436<item> rollspeed </item>
1437<item> round </item>
1438<item> run </item>
1439<item> run_pitch </item>
1440<item> run_roll </item>
1441<item> s_compression </item>
1442<item> s_defaultsound </item>
1443<item> s_doppler </item>
1444<item> s_initsound </item>
1445<item> s_khz </item>
1446<item> s_loadas8bit </item>
1447<item> s_mixPreStep </item>
1448<item> s_mixahead </item>
1449<item> s_musicvolume </item>
1450<item> s_mute </item>
1451<item> s_nocompressed </item>
1452<item> s_usingA3D </item>
1453<item> s_primary </item>
1454<item> s_separation </item>
1455<item> s_show </item>
1456<item> s_testsound </item>
1457<item> s_volume </item>
1458<item> s_wavonly </item>
1459<item> samelevel </item>
1460<item> saturatelighting </item>
1461<item> saved1 </item>
1462<item> saved2 </item>
1463<item> saved3 </item>
1464<item> saved4 </item>
1465<item> savedgamecfg </item>
1466<item> scr </item>
1467<item> scr_centertime </item>
1468<item> scr_consize </item>
1469<item> scr_conspeed </item>
1470<item> scr_drawall </item>
1471<item> scr_ofsx </item>
1472<item> scr_ofsy </item>
1473<item> scr_ofsz </item>
1474<item> scr_printspeed </item>
1475<item> scr_showpause </item>
1476<item> scr_showturtle </item>
1477<item> scratch1 </item>
1478<item> scratch2 </item>
1479<item> scratch3 </item>
1480<item> scratch4 </item>
1481<item> screenshot </item>
1482<item> select </item>
1483<item> sensitivity </item>
1484<item> separation </item>
1485<item> server1 </item>
1486<item> server10 </item>
1487<item> server11 </item>
1488<item> server12 </item>
1489<item> server13 </item>
1490<item> server14 </item>
1491<item> server15 </item>
1492<item> server16 </item>
1493<item> server2 </item>
1494<item> server3 </item>
1495<item> server4 </item>
1496<item> server5 </item>
1497<item> server6 </item>
1498<item> server7 </item>
1499<item> server8 </item>
1500<item> server9 </item>
1501<item> serverprofile </item>
1502<item> sex </item>
1503<item> shadows </item>
1504<item> show </item>
1505<item> showclamp </item>
1506<item> showdrop </item>
1507<item> showmiss </item>
1508<item> shownet </item>
1509<item> showpackets </item>
1510<item> showpause </item>
1511<item> showram </item>
1512<item> showtrace </item>
1513<item> showtris </item>
1514<item> showturtle </item>
1515<item> side </item>
1516<item> sidesensitivity </item>
1517<item> sidespeed </item>
1518<item> sidethreshold </item>
1519<item> size </item>
1520<item> skill </item>
1521<item> skin </item>
1522<item> skymip </item>
1523<item> snaps </item>
1524<item> snd_bits </item>
1525<item> snd_device </item>
1526<item> snd_interp </item>
1527<item> snd_loadas8bit </item>
1528<item> snd_mixahead </item>
1529<item> snd_noextraupdate </item>
1530<item> snd_oss_mmaped </item>
1531<item> snd_output </item>
1532<item> snd_phasesep </item>
1533<item> snd_rate </item>
1534<item> snd_render </item>
1535<item> snd_show </item>
1536<item> snd_stereo </item>
1537<item> snd_volumesep </item>
1538<item> sndbits </item>
1539<item> sndchannels </item>
1540<item> snddevice </item>
1541<item> sndspeed </item>
1542<item> software </item>
1543<item> sounds </item>
1544<item> spectator </item>
1545<item> spectator_password </item>
1546<item> speeds </item>
1547<item> stats </item>
1548<item> stereo </item>
1549<item> stipplealpha </item>
1550<item> surfcacheoverride </item>
1551<item> sv </item>
1552<item> sv_accelerate </item>
1553<item> sv_aim </item>
1554<item> sv_airaccelerate </item>
1555<item> sv_allowAnonymous </item>
1556<item> sv_allowDownload </item>
1557<item> sv_cheats </item>
1558<item> sv_enforcetime </item>
1559<item> sv_floodProtect </item>
1560<item> sv_fps </item>
1561<item> sv_friction </item>
1562<item> sv_gravity </item>
1563<item> sv_hostname </item>
1564<item> sv_idealpitchscale </item>
1565<item> sv_keywords </item>
1566<item> sv_killserver </item>
1567<item> sv_mapChecksum </item>
1568<item> sv_master1 </item>
1569<item> sv_master2 </item>
1570<item> sv_master3 </item>
1571<item> sv_master4 </item>
1572<item> sv_master5 </item>
1573<item> sv_maxPing </item>
1574<item> sv_maxRate </item>
1575<item> sv_maxclients </item>
1576<item> sv_maxrate </item>
1577<item> sv_maxspeed </item>
1578<item> sv_maxtic </item>
1579<item> sv_maxvelocity </item>
1580<item> sv_minPing </item>
1581<item> sv_minqfversion </item>
1582<item> sv_mintic </item>
1583<item> sv_netdosprotect </item>
1584<item> sv_noreload </item>
1585<item> sv_nostep </item>
1586<item> sv_onlyVisibleClients </item>
1587<item> sv_padPackets </item>
1588<item> sv_pakNames </item>
1589<item> sv_paks </item>
1590<item> sv_paused </item>
1591<item> sv_phs </item>
1592<item> sv_privateClients </item>
1593<item> sv_privatePassword </item>
1594<item> sv_progs </item>
1595<item> sv_pure </item>
1596<item> sv_reconnect_limit </item>
1597<item> sv_reconnectlimit </item>
1598<item> sv_referencedPakNames </item>
1599<item> sv_referencedPaks </item>
1600<item> sv_restartround </item>
1601<item> sv_rollangle </item>
1602<item> sv_rollspeed </item>
1603<item> sv_running </item>
1604<item> sv_serverid </item>
1605<item> sv_showAverageBPS </item>
1606<item> sv_showloss </item>
1607<item> sv_spectalk </item>
1608<item> sv_stopspeed </item>
1609<item> sv_timefmt </item>
1610<item> sv_timekick </item>
1611<item> sv_timekick_fuzz </item>
1612<item> sv_timekick_interval </item>
1613<item> sv_timeout </item>
1614<item> sv_timestamps </item>
1615<item> sv_wateraccelerate </item>
1616<item> sv_waterfriction </item>
1617<item> sv_zombietime </item>
1618<item> sw </item>
1619<item> sw_allow_modex </item>
1620<item> sw_clearcolor </item>
1621<item> sw_drawflat </item>
1622<item> sw_draworder </item>
1623<item> sw_maxedges </item>
1624<item> sw_maxsurfs </item>
1625<item> sw_mipcap </item>
1626<item> sw_mipscale </item>
1627<item> sw_mode </item>
1628<item> sw_polymodelstats </item>
1629<item> sw_reportedgeout </item>
1630<item> sw_reportsurfout </item>
1631<item> sw_stipplealpha </item>
1632<item> sw_surfcacheoverride </item>
1633<item> sw_waterwarp </item>
1634<item> swapinterval </item>
1635<item> sys_cpustring </item>
1636<item> sys_nostdout </item>
1637<item> sys_sleep </item>
1638<item> sys_ticrate </item>
1639<item> team </item>
1640<item> team_headmodel </item>
1641<item> team_model </item>
1642<item> teamplay </item>
1643<item> teamtask </item>
1644<item> temp1 </item>
1645<item> testblend </item>
1646<item> testentities </item>
1647<item> testlights </item>
1648<item> testparticles </item>
1649<item> testsound </item>
1650<item> texturealphamode </item>
1651<item> texturemode </item>
1652<item> texturesolidmode </item>
1653<item> timedemo </item>
1654<item> timegraph </item>
1655<item> timelimit </item>
1656<item> timeout </item>
1657<item> timescale </item>
1658<item> topcolor </item>
1659<item> triplebuffer </item>
1660<item> ttycon </item>
1661<item> ui_Q3Model </item>
1662<item> ui_actualNetGametype </item>
1663<item> ui_bigFont </item>
1664<item> ui_browserGameType </item>
1665<item> ui_browserMaster </item>
1666<item> ui_browserShowEmpty </item>
1667<item> ui_browserShowFriendlyFire </item>
1668<item> ui_browserShowFull </item>
1669<item> ui_browserShowMaxlives </item>
1670<item> ui_browserShowTourney </item>
1671<item> ui_browserSortKey </item>
1672<item> ui_cdkeychecked </item>
1673<item> ui_class </item>
1674<item> ui_cmd </item>
1675<item> ui_ctf_capturelimit </item>
1676<item> ui_ctf_friendly </item>
1677<item> ui_ctf_timelimit </item>
1678<item> ui_currentMap </item>
1679<item> ui_currentNetMap </item>
1680<item> ui_dedicated </item>
1681<item> ui_ffa_fraglimit </item>
1682<item> ui_ffa_timelimit </item>
1683<item> ui_gametype </item>
1684<item> ui_glCustom </item>
1685<item> ui_isSpectator </item>
1686<item> ui_joinGametype </item>
1687<item> ui_limboMode </item>
1688<item> ui_limboObjective </item>
1689<item> ui_limboOptions </item>
1690<item> ui_limboPrevOptions </item>
1691<item> ui_mapIndex </item>
1692<item> ui_master </item>
1693<item> ui_menuFiles </item>
1694<item> ui_mousePitch </item>
1695<item> ui_netGametype </item>
1696<item> ui_netSource </item>
1697<item> ui_notebookCurrentPage </item>
1698<item> ui_objective </item>
1699<item> ui_prevClass </item>
1700<item> ui_prevTeam </item>
1701<item> ui_prevWeapon </item>
1702<item> ui_serverStatusTimeOut </item>
1703<item> ui_singlePlayerActive </item>
1704<item> ui_smallFont </item>
1705<item> ui_spSelection </item>
1706<item> ui_team </item>
1707<item> ui_teamArenaFirstRun </item>
1708<item> ui_team_fraglimit </item>
1709<item> ui_team_friendly </item>
1710<item> ui_team_timelimit </item>
1711<item> ui_tourney_fraglimit </item>
1712<item> ui_tourney_timelimit </item>
1713<item> ui_userAlliedRespawnTime </item>
1714<item> ui_userAxisRespawnTime </item>
1715<item> ui_userTimeLimit </item>
1716<item> ui_weapon </item>
1717<item> up </item>
1718<item> upsensitivity </item>
1719<item> upspeed </item>
1720<item> upthreshold </item>
1721<item> username </item>
1722<item> v_centermove </item>
1723<item> v_centerspeed </item>
1724<item> v_idlescale </item>
1725<item> v_ipitch_cycle </item>
1726<item> v_ipitch_level </item>
1727<item> v_iroll_cycle </item>
1728<item> v_iroll_level </item>
1729<item> v_iuaw_cycle </item>
1730<item> v_iyaw_cycle </item>
1731<item> v_iyaw_level </item>
1732<item> v_kickpitch </item>
1733<item> v_kickroll </item>
1734<item> v_kicktime </item>
1735<item> version </item>
1736<item> vertex </item>
1737<item> vid </item>
1738<item> vid_config_x </item>
1739<item> vid_config_y </item>
1740<item> vid_fullscreen </item>
1741<item> vid_fullscreen_mode </item>
1742<item> vid_gamma </item>
1743<item> vid_height </item>
1744<item> vid_mode </item>
1745<item> vid_nopageflip </item>
1746<item> vid_ref </item>
1747<item> vid_system_gamma </item>
1748<item> vid_use8bit </item>
1749<item> vid_wait </item>
1750<item> vid_width </item>
1751<item> vid_window_x </item>
1752<item> vid_window_y </item>
1753<item> vid_windowed_mode </item>
1754<item> vid_xpos </item>
1755<item> vid_ypos </item>
1756<item> viewlog </item>
1757<item> viewsize </item>
1758<item> vm_cgame </item>
1759<item> vm_game </item>
1760<item> vm_ui </item>
1761<item> volume </item>
1762<item> vwep </item>
1763<item> waitdelay </item>
1764<item> waterwarp </item>
1765<item> wavonly </item>
1766<item> win </item>
1767<item> win_noalttab </item>
1768<item> win_hinstance </item>
1769<item> win_wndproc </item>
1770<item> xpos </item>
1771<item> yaw </item>
1772<item> yawsensitivity </item>
1773<item> yawspeed </item>
1774<item> yawthreshold </item>
1775<item> ypos </item>
1776<item> zombietime </item>
1777<item> ztrick </item>
1778</list>
1779
1780
1781<list name="Actions">
1782<item> +attack </item>
1783<item> +ttack2 </item>
1784<item> +alt1 </item>
1785<item> +activate </item>
1786<item> +back </item>
1787<item> +break </item>
1788<item> +button0 </item>
1789<item> +button1 </item>
1790<item> +button10 </item>
1791<item> +button11 </item>
1792<item> +button12 </item>
1793<item> +button13 </item>
1794<item> +button14 </item>
1795<item> +button2 </item>
1796<item> +button3 </item>
1797<item> +button4 </item>
1798<item> +button5 </item>
1799<item> +button6 </item>
1800<item> +button7 </item>
1801<item> +button8 </item>
1802<item> +button9 </item>
1803<item> +camdistance </item>
1804<item> +camin </item>
1805<item> +cammousemove </item>
1806<item> +camout </item>
1807<item> +campitchdown </item>
1808<item> +campitchup </item>
1809<item> +camyawleft </item>
1810<item> +camyawright </item>
1811<item> +commandmenu </item>
1812<item> +dropweapon </item>
1813<item> +duck </item>
1814<item> +forward </item>
1815<item> +graph </item>
1816<item> +jlook </item>
1817<item> +jump </item>
1818<item> +kick </item>
1819<item> +klook </item>
1820<item> +leanleft </item>
1821<item> +leanright </item>
1822<item> +left </item>
1823<item> +lookdown </item>
1824<item> +lookup </item>
1825<item> +mlook </item>
1826<item> +movedown </item>
1827<item> +moveleft </item>
1828<item> +moveright </item>
1829<item> +moveup </item>
1830<item> +nvgadjust </item>
1831<item> +quickgren </item>
1832<item> +reload </item>
1833<item> +right </item>
1834<item> +salute </item>
1835<item> +score </item>
1836<item> +showscores </item>
1837<item> +speed </item>
1838<item> +sprint </item>
1839<item> +strafe </item>
1840<item> +use </item>
1841<item> +useitem </item>
1842<item> +voicerecord </item>
1843<item> +wbutton7 </item>
1844<item> +zoom </item>
1845<item> -activate </item>
1846<item> -alt1 </item>
1847<item> -attack </item>
1848<item> -attack2 </item>
1849<item> -back </item>
1850<item> -break </item>
1851<item> -button0 </item>
1852<item> -button1 </item>
1853<item> -button10 </item>
1854<item> -button11 </item>
1855<item> -button12 </item>
1856<item> -button13 </item>
1857<item> -button14 </item>
1858<item> -button2 </item>
1859<item> -button3 </item>
1860<item> -button4 </item>
1861<item> -button5 </item>
1862<item> -button6 </item>
1863<item> -button7 </item>
1864<item> -button8 </item>
1865<item> -button9 </item>
1866<item> -camdistance </item>
1867<item> -camin </item>
1868<item> -cammousemove </item>
1869<item> -camout </item>
1870<item> -campitchdown </item>
1871<item> -campitchup </item>
1872<item> -camyawleft </item>
1873<item> -camyawright </item>
1874<item> -commandmenu </item>
1875<item> -dropweapon </item>
1876<item> -duck </item>
1877<item> -forward </item>
1878<item> -graph </item>
1879<item> -jlook </item>
1880<item> -jump </item>
1881<item> -kick </item>
1882<item> -klook </item>
1883<item> -leanleft </item>
1884<item> -leanright </item>
1885<item> -left </item>
1886<item> -lookdown </item>
1887<item> -lookup </item>
1888<item> -mlook </item>
1889<item> -movedown </item>
1890<item> -moveleft </item>
1891<item> -moveright </item>
1892<item> -moveup </item>
1893<item> -nvgadjust </item>
1894<item> -quickgren </item>
1895<item> -reload </item>
1896<item> -right </item>
1897<item> -salute </item>
1898<item> -score </item>
1899<item> -showscores </item>
1900<item> -speed </item>
1901<item> -sprint </item>
1902<item> -strafe </item>
1903<item> -use </item>
1904<item> -useitem </item>
1905<item> -voicerecord </item>
1906<item> -wbutton7 </item>
1907<item> -zoom </item>
1908</list>
1909
1910
1911<list name="KeyTypes">
1912<item> * </item>
1913<item> [ </item>
1914<item> ] </item>
1915<item> \ </item>
1916<item> / </item>
1917<item> ' </item>
1918<item> = </item>
1919<item> - </item>
1920<item> + </item>
1921<item> , </item>
1922<item> . </item>
1923<item> ` </item>
1924<item> ~ </item>
1925<item> 1 </item>
1926<item> 2 </item>
1927<item> 3 </item>
1928<item> 4 </item>
1929<item> 5 </item>
1930<item> 6 </item>
1931<item> 7 </item>
1932<item> 8 </item>
1933<item> 9 </item>
1934<item> 0 </item>
1935<item> a </item>
1936<item> b </item>
1937<item> c </item>
1938<item> d </item>
1939<item> e </item>
1940<item> f </item>
1941<item> g </item>
1942<item> h </item>
1943<item> i </item>
1944<item> j </item>
1945<item> k </item>
1946<item> l </item>
1947<item> m </item>
1948<item> n </item>
1949<item> o </item>
1950<item> p </item>
1951<item> q </item>
1952<item> r </item>
1953<item> s </item>
1954<item> t </item>
1955<item> u </item>
1956<item> v </item>
1957<item> x </item>
1958<item> w </item>
1959<item> y </item>
1960<item> z </item>
1961<item> ALT </item>
1962<item> AUX1 </item>
1963<item> AUX10 </item>
1964<item> AUX11 </item>
1965<item> AUX12 </item>
1966<item> AUX13 </item>
1967<item> AUX14 </item>
1968<item> AUX15 </item>
1969<item> AUX16 </item>
1970<item> AUX17 </item>
1971<item> AUX18 </item>
1972<item> AUX2 </item>
1973<item> AUX20 </item>
1974<item> AUX21 </item>
1975<item> AUX22 </item>
1976<item> AUX23 </item>
1977<item> AUX24 </item>
1978<item> AUX25 </item>
1979<item> AUX26 </item>
1980<item> AUX27 </item>
1981<item> AUX28 </item>
1982<item> AUX29 </item>
1983<item> AUX3 </item>
1984<item> AUX30 </item>
1985<item> AUX31 </item>
1986<item> AUX32 </item>
1987<item> AUX4 </item>
1988<item> AUX5 </item>
1989<item> AUX6 </item>
1990<item> AUX7 </item>
1991<item> AUX8 </item>
1992<item> AUX9 </item>
1993<item> BACKSPACE </item>
1994<item> CTRL </item>
1995<item> DEL </item>
1996<item> DOWNARROW </item>
1997<item> END </item>
1998<item> ENTER </item>
1999<item> ESCAPE </item>
2000<item> F1 </item>
2001<item> F10 </item>
2002<item> F11 </item>
2003<item> F12 </item>
2004<item> F2 </item>
2005<item> F3 </item>
2006<item> F4 </item>
2007<item> F5 </item>
2008<item> F6 </item>
2009<item> F7 </item>
2010<item> F8 </item>
2011<item> F9 </item>
2012<item> HOME </item>
2013<item> INS </item>
2014<item> JOY1 </item>
2015<item> JOY2 </item>
2016<item> JOY3 </item>
2017<item> JOY4 </item>
2018<item> KP_SLASH </item>
2019<item> KP_5 </item>
2020<item> KP_UPARROW </item>
2021<item> KP_LEFTARROW </item>
2022<item> KP_RIGHTARROW </item>
2023<item> KP_DOWNARROW </item>
2024<item> KP_HOME </item>
2025<item> KP_END </item>
2026<item> KP_PGUP </item>
2027<item> KP_PGDN </item>
2028<item> KP_INS </item>
2029<item> KP_DEL </item>
2030<item> LEFTARROW </item>
2031<item> MOUSE1 </item>
2032<item> MOUSE2 </item>
2033<item> MOUSE3 </item>
2034<item> MWHEELDOWN </item>
2035<item> MWHEELUP </item>
2036<item> PAUSE </item>
2037<item> PGDN </item>
2038<item> PGUP </item>
2039<item> RIGHTARROW </item>
2040<item> SEMICOLON </item>
2041<item> CAPSLOCK </item>
2042<item> SHIFT </item>
2043<item> SPACE </item>
2044<item> TAB </item>
2045<item> UPARROW </item>
2046</list>
2047
2048 <list name="Symbols">
2049 <item> ; </item>
2050 <item> $ </item>
2051 </list>
2052 <list name="BindFamily">
2053 <item> bind </item>
2054 <item> unbind </item>
2055 </list>
2056 <list name="setFamily">
2057 <item> set </item>
2058 <item> seta </item>
2059 <item> setu </item>
2060 <item> sets </item>
2061 </list>
2062 <list name="PrintsString">
2063 <item> echo </item>
2064 <item> say_team </item>
2065 <item> say </item>
2066 </list>
2067
2068 <contexts>
2069 <context attribute="3" lineEndContext="#stay" name="Normal Text">
2070 <keyword attribute="0" context="Arg area" String="Commands"/>
2071 <keyword attribute="2" context="Arg area" String="Variables"/>
2072 <keyword attribute="1" context="#stay" String="Actions"/>
2073 <keyword attribute="10" context="#stay" String="Symbols"/>
2074 <keyword attribute="0" context="Bind phrase" String="BindFamily"/>
2075 <keyword attribute="0" context="Alias phrase" String="setFamily"/>
2076 <keyword attribute="0" context="Echo" String="PrintsString"/>
2077 <StringDetect attribute="0" context="Alias phrase" String="alias" insensitive="TRUE"/>
2078 <keyword attribute="0" context="Echo" String="PrintsString"/>
2079 <DetectChar attribute="10" context="#stay" char="$"/>
2080 <Detect2Chars attribute="4" context="Comment" char="/" char1="/"/>
2081 </context>
2082 <context attribute="4" lineEndContext="Normal Text" name="Comment"/>
2083 <context attribute="7" lineEndContext="Normal Text" name="String">
2084 <DetectChar attribute="7" context="#pop" char="&quot;"/>
2085 </context>
2086 <context attribute="3" lineEndContext="Normal Text" name="Arg area">
2087 <DetectChar attribute="7" context="String" char="&quot;"/>
2088 <Float attribute="5" context="#stay"/>
2089 <Int attribute="6" context="#stay"/>
2090 <DetectChar attribute="10" context="#pop" char=";"/>
2091 <Detect2Chars attribute="4" context="Comment" char="/" char1="/"/>
2092 <DetectChar attribute="10" context="Normal Text" char="$"/>
2093 </context>
2094 <context attribute="3" lineEndContext="Normal Text" name="Arg area in sub phrase">
2095 <Float attribute="5" context="#stay"/>
2096 <Int attribute="6" context="#stay"/>
2097 <Detect2Chars attribute="4" context="Comment" char="/" char1="/"/>
2098 <DetectChar attribute="10" context="#pop" char=";"/>
2099 </context>
2100 <context attribute="3" lineEndContext="Normal Text" name="Sub phrase">
2101 <keyword attribute="0" context="Arg area in sub phrase" String="Commands"/>
2102 <keyword attribute="2" context="Arg area in sub phrase" String="Variables"/>
2103 <keyword attribute="1" context="#stay" String="Actions"/>
2104 <keyword attribute="10" context="#stay" String="Symbols"/>
2105 <keyword attribute="0" context="Bind phrase" String="BindFamily"/>
2106 <keyword attribute="0" context="Normal Text" String="setFamily"/>
2107 <keyword attribute="0" context="Echo" String="PrintsString"/>
2108 <StringDetect attribute="0" context="Alias phrase" String="alias"/>
2109 <Detect2Chars attribute="4" context="Comment" char="/" char1="/"/>
2110 <DetectChar attribute="10" context="#stay" char=";"/>
2111 <Int attribute="6" context="#stay"/>
2112 <DetectChar attribute="10" context="#pop" char=";"/>
2113 </context>
2114 <context attribute="3" lineEndContext="Normal Text" name="Bind phrase">
2115 <keyword attribute="9" context="Sub phrase" String="KeyTypes"/>
2116 </context>
2117 <context attribute="11" lineEndContext="Normal Text" name="Alias phrase">
2118 <DetectChar attribute="11" context="Sub phrase" char=" "/>
2119 </context>
2120 <context attribute="7" lineEndContext="Normal Text" name="Echo">
2121 <DetectChar attribute="10" context="#pop" char=";"/>
2122 <Detect2Chars attribute="4" context="Comment" char="/" char1="/"/>
2123 </context>
2124 </contexts>
2125 <itemDatas>
2126 <itemData name="Command" defStyleNum="dsKeyword" />
2127 <itemData name="Action" defStyleNum="dsNormal" color="#ffcc00" italic="1" selColor="#00ff00" bold="1" />
2128 <itemData name="Variable" defStyleNum="dsNormal" color="#0000ff" italic="1" selColor="#00ff00" bold="1" />
2129 <itemData name="Normal Text" defStyleNum="dsNormal" />
2130 <itemData name="Comment" defStyleNum="dsComment" />
2131 <itemData name="Float" defStyleNum="dsFloat" />
2132 <itemData name="Int" defStyleNum="dsFloat" />
2133 <itemData name="String" defStyleNum="dsNormal" color="#ff0000" italic="1" selColor="#00ff00" bold="0" />
2134 <itemData name="String Char" defStyleNum="dsChar" />
2135 <itemData name="Hex" defStyleNum="dsBaseN" />
2136 <itemData name="Symbol" defStyleNum="dsOthers" />
2137 <itemData name="Identifier" defStyleNum="dsDataType" />
2138 </itemDatas>
2139 </highlighting>
2140 <general>
2141 <comments>
2142 <comment name="singleLine" start="//"/>
2143 </comments>
2144 <keywords weakDeliminator=",+~-=/\.[]$" additionalDeliminator="&quot;;$" casesensitive="0"/>
2145 </general>
2146</language>
diff --git a/share/tinykate/syntax/ilerpg.xml b/share/tinykate/syntax/ilerpg.xml
new file mode 100644
index 0000000..c608396
--- a/dev/null
+++ b/share/tinykate/syntax/ilerpg.xml
@@ -0,0 +1,269 @@
1<!DOCTYPE language SYSTEM "language.dtd">
2<language name="ILERPG" version="1.00" kateversion="2.0" section="Sources" extensions="QRPGLESRC.*" mimetype="text/x-ilerpg-src" casesensitive="0">
3 <highlighting>
4 <list name="biffs">
5 <item> ABS </item>
6 <item> ADDR </item>
7 <item> CHAR </item>
8 <item> DECH </item>
9 <item> DECPOS </item>
10 <item> DEC </item>
11 <item> EDITC </item>
12 <item> EDITFLT </item>
13 <item> EDITW </item>
14 <item> ELEM </item>
15 <item> EOF </item>
16 <item> EQUAL </item>
17 <item> ERROR </item>
18 <item> FLOAT </item>
19 <item> FOUND </item>
20 <item> INTH </item>
21 <item> INT </item>
22 <item> LEN </item>
23 <item> NULLIND </item>
24 <item> OPEN </item>
25 <item> PADDR </item>
26 <item> PARMS </item>
27 <item> REPLACE </item>
28 <item> SCAN </item>
29 <item> SIZE </item>
30 <item> STATUS </item>
31 <item> STR </item>
32 <item> SUBST </item>
33 <item> TRIMR </item>
34 <item> TRIML </item>
35 <item> TRIM </item>
36 <item> UNSH </item>
37 <item> UNS </item>
38 </list>
39 <list name="opcodes">
40 <item> Z-ADD </item>
41 <item> Z-SUB </item>
42 <item> ACQ </item>
43 <item> ADDDUR </item>
44 <item> ADD </item>
45 <item> ALLOC </item>
46 <item> ANDGT </item>
47 <item> ANDLT </item>
48 <item> ANDEQ </item>
49 <item> ANDNE </item>
50 <item> ANDGE </item>
51 <item> ANDLE </item>
52 <item> AND </item>
53 <item> BEGSR </item>
54 <item> BITOFF </item>
55 <item> BITON </item>
56 <item> CABGT </item>
57 <item> CABLT </item>
58 <item> CABEQ </item>
59 <item> CABNE </item>
60 <item> CABGE </item>
61 <item> CABLE </item>
62 <item> CAB </item>
63 <item> CALLB </item>
64 <item> CALLP </item>
65 <item> CALL </item>
66 <item> CASGT </item>
67 <item> CASLT </item>
68 <item> CASEQ </item>
69 <item> CASNE </item>
70 <item> CASGE </item>
71 <item> CASLE </item>
72 <item> CAS </item>
73 <item> CAT </item>
74 <item> CHAIN </item>
75 <item> CHECKR </item>
76 <item> CHECK </item>
77 <item> CLEAR </item>
78 <item> CLOSE </item>
79 <item> COMMIT </item>
80 <item> COMP </item>
81 <item> DEALLOC </item>
82 <item> DEFINE </item>
83 <item> DELETE </item>
84 <item> DIV </item>
85 <item> DOWGT </item>
86 <item> DOWLT </item>
87 <item> DOWEQ </item>
88 <item> DOWNE </item>
89 <item> DOWGE </item>
90 <item> DOWLE </item>
91 <item> DOUGT </item>
92 <item> DOULT </item>
93 <item> DOUEQ </item>
94 <item> DOUNE </item>
95 <item> DOUGE </item>
96 <item> DOULE </item>
97 <item> DOU </item>
98 <item> DOW </item>
99 <item> DO </item>
100 <item> DSPLY </item>
101 <item> DUMP </item>
102 <item> ELSE </item>
103 <item> ENDCS </item>
104 <item> ENDDO </item>
105 <item> ENDIF </item>
106 <item> ENDSL </item>
107 <item> ENDSR </item>
108 <item> END </item>
109 <item> EVAL </item>
110 <item> EXCEPT </item>
111 <item> EXFMT </item>
112 <item> EXSR </item>
113 <item> EXTRCT </item>
114 <item> FEOD </item>
115 <item> FORCE </item>
116 <item> GOTO </item>
117 <item> IFGT </item>
118 <item> IFLT </item>
119 <item> IFEQ </item>
120 <item> IFNE </item>
121 <item> IFGE </item>
122 <item> IFLE </item>
123 <item> IF </item>
124 <item> IN </item>
125 <item> ITER </item>
126 <item> KFLD </item>
127 <item> KLIST </item>
128 <item> LEAVE </item>
129 <item> LOOKUP </item>
130 <item> MHHZO </item>
131 <item> MHLZO </item>
132 <item> MLHZO </item>
133 <item> MLLZO </item>
134 <item> MOVE </item>
135 <item> MOVEA </item>
136 <item> MOVEL </item>
137 <item> MULT </item>
138 <item> MVR </item>
139 <item> NEXT </item>
140 <item> OCCUR </item>
141 <item> OPEN </item>
142 <item> ORGT </item>
143 <item> ORLT </item>
144 <item> OREQ </item>
145 <item> ORNE </item>
146 <item> ORGE </item>
147 <item> ORLE </item>
148 <item> OR </item>
149 <item> OTHER </item>
150 <item> OUT </item>
151 <item> PARM </item>
152 <item> PLIST </item>
153 <item> POST </item>
154 <item> READC </item>
155 <item> READE </item>
156 <item> READPE </item>
157 <item> READP </item>
158 <item> READ </item>
159 <item> REALLOC </item>
160 <item> REL </item>
161 <item> RESET </item>
162 <item> RETURN </item>
163 <item> ROLBK </item>
164 <item> SCAN </item>
165 <item> SELECT </item>
166 <item> SETGT </item>
167 <item> SETLL </item>
168 <item> SETOFF </item>
169 <item> SETON </item>
170 <item> SHTDN </item>
171 <item> SORTA </item>
172 <item> SQRT </item>
173 <item> SUBDUR </item>
174 <item> SUBST </item>
175 <item> SUB </item>
176 <item> TAG </item>
177 <item> TESTB </item>
178 <item> TESTN </item>
179 <item> TESTZ </item>
180 <item> TEST </item>
181 <item> TIME </item>
182 <item> UNLOCK </item>
183 <item> UPDATE </item>
184 <item> WHENGT </item>
185 <item> WHENLT </item>
186 <item> WHENEQ </item>
187 <item> WHENNR </item>
188 <item> WHENGE </item>
189 <item> WHENLE </item>
190 <item> WHEN </item>
191 <item> WRITE </item>
192 <item> XFOOT </item>
193 <item> XLATE </item>
194 <item> *BLANKS </item>
195 </list>
196
197 <contexts>
198 <context attribute="Normal Text" lineEndContext="#stay">
199 <RegExpr attribute="Comment" context="2" String="[POIHFDC ]?\*"/>
200 <RegExpr attribute="Keyword" context="1" String="[POIHFDC]"/>
201 </context>
202 <context attribute="Normal Text" lineEndContext="#pop">
203 <keyword attribute="Keyword" context="#stay" String="opcodes"/>
204 <DetectChar attribute="Biff" context="7" char="%"/>
205 <DetectChar attribute="Constant" context="3" char="'"/>
206 <Float attribute="Float" context="#stay"/>
207 <RegExpr attribute="Hex" context="#stay" String="[Xx]'[0-9|a-f|A-f]{2,}'"/>
208
209 <Int attribute="Decimal" context="#stay" >
210 <StringDetect attribute="Decimal" context="#stay" String="ULL" insensitive="TRUE"/>
211 <StringDetect attribute="Decimal" context="#stay" String="LUL" insensitive="TRUE"/>
212 <StringDetect attribute="Decimal" context="#stay" String="LLU" insensitive="TRUE"/>
213 <StringDetect attribute="Decimal" context="#stay" String="UL" insensitive="TRUE"/>
214 <StringDetect attribute="Decimal" context="#stay" String="LU" insensitive="TRUE"/>
215 <StringDetect attribute="Decimal" context="#stay" String="LL" insensitive="TRUE"/>
216 <StringDetect attribute="Decimal" context="#stay" String="U" insensitive="TRUE"/>
217 <StringDetect attribute="Decimal" context="#stay" String="L" insensitive="TRUE"/>
218 </Int>
219 </context>
220 <context attribute="Comment" lineEndContext="#pop">
221 <RegExpr attribute="BoldComment" context="#stay" String="\(*(FIXME|TODO)\)*" />
222 <RegExpr attribute="BoldComment" context="#stay" String="\(*(NOTE:)\)*" />
223 <DetectChar attribute="BoldComment" context="6" char="!"/>
224 <RegExpr attribute="DivideComment" context="#stay" String="-|="/>
225 </context>
226 <context attribute="Constant" lineEndContext="4">
227 <DetectChar attribute="Constant" context="#pop" char="'"/>
228 </context>
229 <context attribute="Constant" lineEndContext="#stay">
230 <RegExpr attribute="Normal Text" context="#stay" String="[FHDICO]"/>
231 <DetectChar attribute="Constant" context="#pop" char=" "/>
232 </context>
233 <context attribute="Keyword" lineEndContext="#pop#pop">
234 </context>
235 <context attribute="BoldComment" lineEndContext="0">
236 <DetectChar attribute="BoldComment" context="2" char="!"/>
237 </context>
238 <context attribute="Biff" lineEndContext="#pop#pop#pop">
239 <keyword attribute="Biff" context="#pop#pop" String="biffs"/>
240 <DetectChar attribute="Biff" context="#pop#pop" char=" "/>
241 </context>
242 </contexts>
243 <itemDatas>
244 <itemData name="Normal Text" defStyleNum="dsNormal" />
245 <itemData name="Keyword" defStyleNum="dsKeyword" />
246 <itemData name="Biff" defStyleNum="dsKeyword" />
247 <itemData name="RegExpr" defStyleNum="dsBaseN" />
248 <itemData name="Symbol" defStyleNum="dsNormal"/>
249 <itemData name="Decimal" defStyleNum="dsDecVal" />
250 <itemData name="Float" defStyleNum="dsFloat"/>
251 <itemData name="Octal" defStyleNum="dsBaseN"/>
252 <itemData name="Hex" defStyleNum="dsBaseN"/>
253 <itemData name="Float" defStyleNum="dsFloat"/>
254 <itemData name="Base-N" defStyleNum="dsBaseN" />
255 <itemData name="Float" defStyleNum="dsFloat" />
256 <itemData name="Char" defStyleNum="dsChar" />
257 <itemData name="Constant" defStyleNum="dsNormal" color="#FF0000" selColor="#000000" bold="0" italic="0"/>
258 <itemData name="Fill" defStyleNum="dsNormal" color="#FF6347" selColor="#000000" bold="0" italic="0"/>
259 <itemData name="Comment" defStyleNum="dsComment"/>
260 <itemData name="BoldComment" defStyleNum="dsNormal" color="#CD853F" selColor="#808080" bold="1" italic="1"/>
261 <itemData name="DivideComment" defStyleNum="dsComment" bold="1"/>
262 <itemData name="Directive" defStyleNum="dsOthers"/>
263 </itemDatas>
264 </highlighting>
265 <general>
266 <keywords casesensitive="0" />
267 </general>
268</language>
269
diff --git a/share/tinykate/syntax/java.xml b/share/tinykate/syntax/java.xml
new file mode 100644
index 0000000..3e09f82
--- a/dev/null
+++ b/share/tinykate/syntax/java.xml
@@ -0,0 +1,1946 @@
1<?xml version="1.0" encoding="UTF-8"?>
2<!DOCTYPE language SYSTEM "language.dtd">
3<language name="Java" version="1.00" kateversion="2.0" section="Sources" extensions="*.java" mimetype="text/x-java">
4 <highlighting>
5
6 <list name="java13">
7 <item> AbstractAction </item>
8 <item> AbstractBorder </item>
9 <item> AbstractButton </item>
10 <item> AbstractCellEditor </item>
11 <item> AbstractCollection </item>
12 <item> AbstractColorChooserPanel </item>
13 <item> AbstractDocument </item>
14 <item> AbstractDocument.AttributeContext </item>
15 <item> AbstractDocument.Content </item>
16 <item> AbstractDocument.ElementEdit </item>
17 <item> AbstractLayoutCache </item>
18 <item> AbstractLayoutCache.NodeDimensions </item>
19 <item> AbstractList </item>
20 <item> AbstractListModel </item>
21 <item> AbstractMap </item>
22 <item> AbstractMethodError </item>
23 <item> AbstractSequentialList </item>
24 <item> AbstractSet </item>
25 <item> AbstractTableModel </item>
26 <item> AbstractUndoableEdit </item>
27 <item> AbstractWriter </item>
28 <item> AccessControlContext </item>
29 <item> AccessControlException </item>
30 <item> AccessController </item>
31 <item> AccessException </item>
32 <item> Accessible </item>
33 <item> AccessibleAction </item>
34 <item> AccessibleBundle </item>
35 <item> AccessibleComponent </item>
36 <item> AccessibleContext </item>
37 <item> AccessibleHyperlink </item>
38 <item> AccessibleHypertext </item>
39 <item> AccessibleIcon </item>
40 <item> AccessibleObject </item>
41 <item> AccessibleRelation </item>
42 <item> AccessibleRelationSet </item>
43 <item> AccessibleResourceBundle </item>
44 <item> AccessibleRole </item>
45 <item> AccessibleSelection </item>
46 <item> AccessibleState </item>
47 <item> AccessibleStateSet </item>
48 <item> AccessibleTable </item>
49 <item> AccessibleTableModelChange </item>
50 <item> AccessibleText </item>
51 <item> AccessibleValue </item>
52 <item> Acl </item>
53 <item> AclEntry </item>
54 <item> AclNotFoundException </item>
55 <item> Action </item>
56 <item> ActionEvent </item>
57 <item> ActionListener </item>
58 <item> ActionMap </item>
59 <item> ActionMapUIResource </item>
60 <item> Activatable </item>
61 <item> ActivateFailedException </item>
62 <item> ActivationDesc </item>
63 <item> ActivationException </item>
64 <item> ActivationGroup </item>
65 <item> ActivationGroupDesc </item>
66 <item> ActivationGroupDesc.CommandEnvironment </item>
67 <item> ActivationGroupID </item>
68 <item> ActivationID </item>
69 <item> ActivationInstantiator </item>
70 <item> ActivationMonitor </item>
71 <item> ActivationSystem </item>
72 <item> Activator </item>
73 <item> ActiveEvent </item>
74 <item> Adjustable </item>
75 <item> AdjustmentEvent </item>
76 <item> AdjustmentListener </item>
77 <item> Adler32 </item>
78 <item> AffineTransform </item>
79 <item> AffineTransformOp </item>
80 <item> AlgorithmParameterGenerator </item>
81 <item> AlgorithmParameterGeneratorSpi </item>
82 <item> AlgorithmParameters </item>
83 <item> AlgorithmParameterSpec </item>
84 <item> AlgorithmParametersSpi </item>
85 <item> AllPermission </item>
86 <item> AlphaComposite </item>
87 <item> AlreadyBound </item>
88 <item> AlreadyBoundException </item>
89 <item> AlreadyBoundHelper </item>
90 <item> AlreadyBoundHolder </item>
91 <item> AncestorEvent </item>
92 <item> AncestorListener </item>
93 <item> Annotation </item>
94 <item> Any </item>
95 <item> AnyHolder </item>
96 <item> AnySeqHelper </item>
97 <item> AnySeqHolder </item>
98 <item> Applet </item>
99 <item> AppletContext </item>
100 <item> AppletInitializer </item>
101 <item> AppletStub </item>
102 <item> ApplicationException </item>
103 <item> Arc2D </item>
104 <item> Arc2D.Double </item>
105 <item> Arc2D.Float </item>
106 <item> Area </item>
107 <item> AreaAveragingScaleFilter </item>
108 <item> ARG_IN </item>
109 <item> ARG_INOUT </item>
110 <item> ARG_OUT </item>
111 <item> ArithmeticException </item>
112 <item> Array </item>
113 <item> ArrayIndexOutOfBoundsException </item>
114 <item> ArrayList </item>
115 <item> Arrays </item>
116 <item> ArrayStoreException </item>
117 <item> AsyncBoxView </item>
118 <item> Attribute </item>
119 <item> AttributedCharacterIterator </item>
120 <item> AttributedCharacterIterator.Attribute </item>
121 <item> AttributedString </item>
122 <item> AttributeInUseException </item>
123 <item> AttributeList </item>
124 <item> AttributeModificationException </item>
125 <item> Attributes </item>
126 <item> Attributes </item>
127 <item> Attributes.Name </item>
128 <item> AttributeSet </item>
129 <item> AttributeSet.CharacterAttribute </item>
130 <item> AttributeSet.ColorAttribute </item>
131 <item> AttributeSet.FontAttribute </item>
132 <item> AttributeSet.ParagraphAttribute </item>
133 <item> AudioClip </item>
134 <item> AudioFileFormat </item>
135 <item> AudioFileFormat.Type </item>
136 <item> AudioFileReader </item>
137 <item> AudioFileWriter </item>
138 <item> AudioFormat </item>
139 <item> AudioFormat.Encoding </item>
140 <item> AudioInputStream </item>
141 <item> AudioPermission </item>
142 <item> AudioSystem </item>
143 <item> AuthenticationException </item>
144 <item> AuthenticationNotSupportedException </item>
145 <item> Authenticator </item>
146 <item> Autoscroll </item>
147 <item> AWTError </item>
148 <item> AWTEvent </item>
149 <item> AWTEventListener </item>
150 <item> AWTEventMulticaster </item>
151 <item> AWTException </item>
152 <item> AWTPermission </item>
153 <item> BAD_CONTEXT </item>
154 <item> BAD_INV_ORDER </item>
155 <item> BAD_OPERATION </item>
156 <item> BAD_PARAM </item>
157 <item> BAD_POLICY </item>
158 <item> BAD_POLICY_TYPE </item>
159 <item> BAD_POLICY_VALUE </item>
160 <item> BAD_TYPECODE </item>
161 <item> BadKind </item>
162 <item> BadLocationException </item>
163 <item> BandCombineOp </item>
164 <item> BandedSampleModel </item>
165 <item> BasicArrowButton </item>
166 <item> BasicAttribute </item>
167 <item> BasicAttributes </item>
168 <item> BasicBorders </item>
169 <item> BasicBorders.ButtonBorder </item>
170 <item> BasicBorders.FieldBorder </item>
171 <item> BasicBorders.MarginBorder </item>
172 <item> BasicBorders.MenuBarBorder </item>
173 <item> BasicBorders.RadioButtonBorder </item>
174 <item> BasicBorders.SplitPaneBorder </item>
175 <item> BasicBorders.ToggleButtonBorder </item>
176 <item> BasicButtonListener </item>
177 <item> BasicButtonUI </item>
178 <item> BasicCheckBoxMenuItemUI </item>
179 <item> BasicCheckBoxUI </item>
180 <item> BasicColorChooserUI </item>
181 <item> BasicComboBoxEditor </item>
182 <item> BasicComboBoxEditor.UIResource </item>
183 <item> BasicComboBoxRenderer </item>
184 <item> BasicComboBoxRenderer.UIResource </item>
185 <item> BasicComboBoxUI </item>
186 <item> BasicComboPopup </item>
187 <item> BasicDesktopIconUI </item>
188 <item> BasicDesktopPaneUI </item>
189 <item> BasicDirectoryModel </item>
190 <item> BasicEditorPaneUI </item>
191 <item> BasicFileChooserUI </item>
192 <item> BasicGraphicsUtils </item>
193 <item> BasicHTML </item>
194 <item> BasicIconFactory </item>
195 <item> BasicInternalFrameTitlePane </item>
196 <item> BasicInternalFrameUI </item>
197 <item> BasicLabelUI </item>
198 <item> BasicListUI </item>
199 <item> BasicLookAndFeel </item>
200 <item> BasicMenuBarUI </item>
201 <item> BasicMenuItemUI </item>
202 <item> BasicMenuUI </item>
203 <item> BasicOptionPaneUI </item>
204 <item> BasicOptionPaneUI.ButtonAreaLayout </item>
205 <item> BasicPanelUI </item>
206 <item> BasicPasswordFieldUI </item>
207 <item> BasicPermission </item>
208 <item> BasicPopupMenuSeparatorUI </item>
209 <item> BasicPopupMenuUI </item>
210 <item> BasicProgressBarUI </item>
211 <item> BasicRadioButtonMenuItemUI </item>
212 <item> BasicRadioButtonUI </item>
213 <item> BasicRootPaneUI </item>
214 <item> BasicScrollBarUI </item>
215 <item> BasicScrollPaneUI </item>
216 <item> BasicSeparatorUI </item>
217 <item> BasicSliderUI </item>
218 <item> BasicSplitPaneDivider </item>
219 <item> BasicSplitPaneUI </item>
220 <item> BasicStroke </item>
221 <item> BasicTabbedPaneUI </item>
222 <item> BasicTableHeaderUI </item>
223 <item> BasicTableUI </item>
224 <item> BasicTextAreaUI </item>
225 <item> BasicTextFieldUI </item>
226 <item> BasicTextPaneUI </item>
227 <item> BasicTextUI </item>
228 <item> BasicTextUI.BasicCaret </item>
229 <item> BasicTextUI.BasicHighlighter </item>
230 <item> BasicToggleButtonUI </item>
231 <item> BasicToolBarSeparatorUI </item>
232 <item> BasicToolBarUI </item>
233 <item> BasicToolTipUI </item>
234 <item> BasicTreeUI </item>
235 <item> BasicViewportUI </item>
236 <item> BatchUpdateException </item>
237 <item> BeanContext </item>
238 <item> BeanContextChild </item>
239 <item> BeanContextChildComponentProxy </item>
240 <item> BeanContextChildSupport </item>
241 <item> BeanContextContainerProxy </item>
242 <item> BeanContextEvent </item>
243 <item> BeanContextMembershipEvent </item>
244 <item> BeanContextMembershipListener </item>
245 <item> BeanContextProxy </item>
246 <item> BeanContextServiceAvailableEvent </item>
247 <item> BeanContextServiceProvider </item>
248 <item> BeanContextServiceProviderBeanInfo </item>
249 <item> BeanContextServiceRevokedEvent </item>
250 <item> BeanContextServiceRevokedListener </item>
251 <item> BeanContextServices </item>
252 <item> BeanContextServicesListener </item>
253 <item> BeanContextServicesSupport </item>
254 <item> BeanContextServicesSupport.BCSSServiceProvider </item>
255 <item> BeanContextSupport </item>
256 <item> BeanContextSupport.BCSIterator </item>
257 <item> BeanDescriptor </item>
258 <item> BeanInfo </item>
259 <item> Beans </item>
260 <item> BevelBorder </item>
261 <item> BigDecimal </item>
262 <item> BigInteger </item>
263 <item> BinaryRefAddr </item>
264 <item> BindException </item>
265 <item> Binding </item>
266 <item> Binding </item>
267 <item> BindingHelper </item>
268 <item> BindingHolder </item>
269 <item> BindingIterator </item>
270 <item> BindingIteratorHelper </item>
271 <item> BindingIteratorHolder </item>
272 <item> BindingIteratorOperations </item>
273 <item> BindingListHelper </item>
274 <item> BindingListHolder </item>
275 <item> BindingType </item>
276 <item> BindingTypeHelper </item>
277 <item> BindingTypeHolder </item>
278 <item> BitSet </item>
279 <item> Blob </item>
280 <item> BlockView </item>
281 <item> Book </item>
282 <item> Boolean </item>
283 <item> BooleanControl </item>
284 <item> BooleanControl.Type </item>
285 <item> BooleanHolder </item>
286 <item> BooleanSeqHelper </item>
287 <item> BooleanSeqHolder </item>
288 <item> Border </item>
289 <item> BorderFactory </item>
290 <item> BorderLayout </item>
291 <item> BorderUIResource </item>
292 <item> BorderUIResource.BevelBorderUIResource </item>
293 <item> BorderUIResource.CompoundBorderUIResource </item>
294 <item> BorderUIResource.EmptyBorderUIResource </item>
295 <item> BorderUIResource.EtchedBorderUIResource </item>
296 <item> BorderUIResource.LineBorderUIResource </item>
297 <item> BorderUIResource.MatteBorderUIResource </item>
298 <item> BorderUIResource.TitledBorderUIResource </item>
299 <item> BoundedRangeModel </item>
300 <item> Bounds </item>
301 <item> Box </item>
302 <item> Box.Filler </item>
303 <item> BoxedValueHelper </item>
304 <item> BoxLayout </item>
305 <item> BoxView </item>
306 <item> BreakIterator </item>
307 <item> BufferedImage </item>
308 <item> BufferedImageFilter </item>
309 <item> BufferedImageOp </item>
310 <item> BufferedInputStream </item>
311 <item> BufferedOutputStream </item>
312 <item> BufferedReader </item>
313 <item> BufferedWriter </item>
314 <item> Button </item>
315 <item> ButtonGroup </item>
316 <item> ButtonModel </item>
317 <item> ButtonUI </item>
318 <item> Byte </item>
319 <item> ByteArrayInputStream </item>
320 <item> ByteArrayOutputStream </item>
321 <item> ByteHolder </item>
322 <item> ByteLookupTable </item>
323 <item> Calendar </item>
324 <item> CallableStatement </item>
325 <item> CannotProceed </item>
326 <item> CannotProceedException </item>
327 <item> CannotProceedHelper </item>
328 <item> CannotProceedHolder </item>
329 <item> CannotRedoException </item>
330 <item> CannotUndoException </item>
331 <item> Canvas </item>
332 <item> CardLayout </item>
333 <item> Caret </item>
334 <item> CaretEvent </item>
335 <item> CaretListener </item>
336 <item> CellEditor </item>
337 <item> CellEditorListener </item>
338 <item> CellRendererPane </item>
339 <item> Certificate </item>
340 <item> Certificate </item>
341 <item> Certificate.CertificateRep </item>
342 <item> CertificateEncodingException </item>
343 <item> CertificateException </item>
344 <item> CertificateExpiredException </item>
345 <item> CertificateFactory </item>
346 <item> CertificateFactorySpi </item>
347 <item> CertificateNotYetValidException </item>
348 <item> CertificateParsingException </item>
349 <item> ChangedCharSetException </item>
350 <item> ChangeEvent </item>
351 <item> ChangeListener </item>
352 <item> Character </item>
353 <item> Character.Subset </item>
354 <item> Character.UnicodeBlock </item>
355 <item> CharacterIterator </item>
356 <item> CharArrayReader </item>
357 <item> CharArrayWriter </item>
358 <item> CharConversionException </item>
359 <item> CharHolder </item>
360 <item> CharSeqHelper </item>
361 <item> CharSeqHolder </item>
362 <item> Checkbox </item>
363 <item> CheckboxGroup </item>
364 <item> CheckboxMenuItem </item>
365 <item> CheckedInputStream </item>
366 <item> CheckedOutputStream </item>
367 <item> Checksum </item>
368 <item> Choice </item>
369 <item> ChoiceFormat </item>
370 <item> Class </item>
371 <item> ClassCastException </item>
372 <item> ClassCircularityError </item>
373 <item> ClassDesc </item>
374 <item> ClassFormatError </item>
375 <item> ClassLoader </item>
376 <item> ClassNotFoundException </item>
377 <item> Clip </item>
378 <item> Clipboard </item>
379 <item> ClipboardOwner </item>
380 <item> Clob </item>
381 <item> Cloneable </item>
382 <item> CloneNotSupportedException </item>
383 <item> CMMException </item>
384 <item> CodeSource </item>
385 <item> CollationElementIterator </item>
386 <item> CollationKey </item>
387 <item> Collator </item>
388 <item> Collection </item>
389 <item> Collections </item>
390 <item> Color </item>
391 <item> ColorChooserComponentFactory </item>
392 <item> ColorChooserUI </item>
393 <item> ColorConvertOp </item>
394 <item> ColorModel </item>
395 <item> ColorSelectionModel </item>
396 <item> ColorSpace </item>
397 <item> ColorUIResource </item>
398 <item> ComboBoxEditor </item>
399 <item> ComboBoxModel </item>
400 <item> ComboBoxUI </item>
401 <item> ComboPopup </item>
402 <item> COMM_FAILURE </item>
403 <item> CommunicationException </item>
404 <item> Comparable </item>
405 <item> Comparator </item>
406 <item> Compiler </item>
407 <item> CompletionStatus </item>
408 <item> CompletionStatusHelper </item>
409 <item> Component </item>
410 <item> ComponentAdapter </item>
411 <item> ComponentColorModel </item>
412 <item> ComponentEvent </item>
413 <item> ComponentInputMap </item>
414 <item> ComponentInputMapUIResource </item>
415 <item> ComponentListener </item>
416 <item> ComponentOrientation </item>
417 <item> ComponentSampleModel </item>
418 <item> ComponentUI </item>
419 <item> ComponentView </item>
420 <item> Composite </item>
421 <item> CompositeContext </item>
422 <item> CompositeName </item>
423 <item> CompositeView </item>
424 <item> CompoundBorder </item>
425 <item> CompoundControl </item>
426 <item> CompoundControl.Type </item>
427 <item> CompoundEdit </item>
428 <item> CompoundName </item>
429 <item> ConcurrentModificationException </item>
430 <item> ConfigurationException </item>
431 <item> ConnectException </item>
432 <item> ConnectException </item>
433 <item> ConnectIOException </item>
434 <item> Connection </item>
435 <item> Constructor </item>
436 <item> Container </item>
437 <item> ContainerAdapter </item>
438 <item> ContainerEvent </item>
439 <item> ContainerListener </item>
440 <item> ContentHandler </item>
441 <item> ContentHandlerFactory </item>
442 <item> ContentModel </item>
443 <item> Context </item>
444 <item> Context </item>
445 <item> ContextList </item>
446 <item> ContextNotEmptyException </item>
447 <item> ContextualRenderedImageFactory </item>
448 <item> Control </item>
449 <item> Control </item>
450 <item> Control.Type </item>
451 <item> ControlFactory </item>
452 <item> ControllerEventListener </item>
453 <item> ConvolveOp </item>
454 <item> CRC32 </item>
455 <item> CRL </item>
456 <item> CRLException </item>
457 <item> CropImageFilter </item>
458 <item> CSS </item>
459 <item> CSS.Attribute </item>
460 <item> CTX_RESTRICT_SCOPE </item>
461 <item> CubicCurve2D </item>
462 <item> CubicCurve2D.Double </item>
463 <item> CubicCurve2D.Float </item>
464 <item> Current </item>
465 <item> CurrentHelper </item>
466 <item> CurrentHolder </item>
467 <item> CurrentOperations </item>
468 <item> Cursor </item>
469 <item> Customizer </item>
470 <item> CustomMarshal </item>
471 <item> CustomValue </item>
472 <item> DATA_CONVERSION </item>
473 <item> DatabaseMetaData </item>
474 <item> DataBuffer </item>
475 <item> DataBufferByte </item>
476 <item> DataBufferInt </item>
477 <item> DataBufferShort </item>
478 <item> DataBufferUShort </item>
479 <item> DataFlavor </item>
480 <item> DataFormatException </item>
481 <item> DatagramPacket </item>
482 <item> DatagramSocket </item>
483 <item> DatagramSocketImpl </item>
484 <item> DatagramSocketImplFactory </item>
485 <item> DataInput </item>
486 <item> DataInputStream </item>
487 <item> DataInputStream </item>
488 <item> DataLine </item>
489 <item> DataLine.Info </item>
490 <item> DataOutput </item>
491 <item> DataOutputStream </item>
492 <item> DataOutputStream </item>
493 <item> DataTruncation </item>
494 <item> Date </item>
495 <item> DateFormat </item>
496 <item> DateFormatSymbols </item>
497 <item> DebugGraphics </item>
498 <item> DecimalFormat </item>
499 <item> DecimalFormatSymbols </item>
500 <item> DefaultBoundedRangeModel </item>
501 <item> DefaultButtonModel </item>
502 <item> DefaultCaret </item>
503 <item> DefaultCellEditor </item>
504 <item> DefaultColorSelectionModel </item>
505 <item> DefaultComboBoxModel </item>
506 <item> DefaultDesktopManager </item>
507 <item> DefaultEditorKit </item>
508 <item> DefaultEditorKit.BeepAction </item>
509 <item> DefaultEditorKit.CopyAction </item>
510 <item> DefaultEditorKit.CutAction </item>
511 <item> DefaultEditorKit.DefaultKeyTypedAction </item>
512 <item> DefaultEditorKit.InsertBreakAction </item>
513 <item> DefaultEditorKit.InsertContentAction </item>
514 <item> DefaultEditorKit.InsertTabAction </item>
515 <item> DefaultEditorKit.PasteAction </item>
516 <item> DefaultFocusManager </item>
517 <item> DefaultHighlighter </item>
518 <item> DefaultHighlighter.DefaultHighlightPainter </item>
519 <item> DefaultListCellRenderer </item>
520 <item> DefaultListCellRenderer.UIResource </item>
521 <item> DefaultListModel </item>
522 <item> DefaultListSelectionModel </item>
523 <item> DefaultMenuLayout </item>
524 <item> DefaultMetalTheme </item>
525 <item> DefaultMutableTreeNode </item>
526 <item> DefaultSingleSelectionModel </item>
527 <item> DefaultStyledDocument </item>
528 <item> DefaultStyledDocument.AttributeUndoableEdit </item>
529 <item> DefaultStyledDocument.ElementSpec </item>
530 <item> DefaultTableCellRenderer </item>
531 <item> DefaultTableCellRenderer.UIResource </item>
532 <item> DefaultTableColumnModel </item>
533 <item> DefaultTableModel </item>
534 <item> DefaultTextUI </item>
535 <item> DefaultTreeCellEditor </item>
536 <item> DefaultTreeCellRenderer </item>
537 <item> DefaultTreeModel </item>
538 <item> DefaultTreeSelectionModel </item>
539 <item> DefinitionKind </item>
540 <item> DefinitionKindHelper </item>
541 <item> Deflater </item>
542 <item> DeflaterOutputStream </item>
543 <item> Delegate </item>
544 <item> DesignMode </item>
545 <item> DesktopIconUI </item>
546 <item> DesktopManager </item>
547 <item> DesktopPaneUI </item>
548 <item> DGC </item>
549 <item> Dialog </item>
550 <item> Dictionary </item>
551 <item> DigestException </item>
552 <item> DigestInputStream </item>
553 <item> DigestOutputStream </item>
554 <item> Dimension </item>
555 <item> Dimension2D </item>
556 <item> DimensionUIResource </item>
557 <item> DirContext </item>
558 <item> DirectColorModel </item>
559 <item> DirectoryManager </item>
560 <item> DirObjectFactory </item>
561 <item> DirStateFactory </item>
562 <item> DirStateFactory.Result </item>
563 <item> DnDConstants </item>
564 <item> Document </item>
565 <item> DocumentEvent </item>
566 <item> DocumentEvent.ElementChange </item>
567 <item> DocumentEvent.EventType </item>
568 <item> DocumentListener </item>
569 <item> DocumentParser </item>
570 <item> DomainCombiner </item>
571 <item> DomainManager </item>
572 <item> DomainManagerOperations </item>
573 <item> Double </item>
574 <item> DoubleHolder </item>
575 <item> DoubleSeqHelper </item>
576 <item> DoubleSeqHolder </item>
577 <item> DragGestureEvent </item>
578 <item> DragGestureListener </item>
579 <item> DragGestureRecognizer </item>
580 <item> DragSource </item>
581 <item> DragSourceContext </item>
582 <item> DragSourceDragEvent </item>
583 <item> DragSourceDropEvent </item>
584 <item> DragSourceEvent </item>
585 <item> DragSourceListener </item>
586 <item> Driver </item>
587 <item> DriverManager </item>
588 <item> DriverPropertyInfo </item>
589 <item> DropTarget </item>
590 <item> DropTarget.DropTargetAutoScroller </item>
591 <item> DropTargetContext </item>
592 <item> DropTargetDragEvent </item>
593 <item> DropTargetDropEvent </item>
594 <item> DropTargetEvent </item>
595 <item> DropTargetListener </item>
596 <item> DSAKey </item>
597 <item> DSAKeyPairGenerator </item>
598 <item> DSAParameterSpec </item>
599 <item> DSAParams </item>
600 <item> DSAPrivateKey </item>
601 <item> DSAPrivateKeySpec </item>
602 <item> DSAPublicKey </item>
603 <item> DSAPublicKeySpec </item>
604 <item> DTD </item>
605 <item> DTDConstants </item>
606 <item> DynamicImplementation </item>
607 <item> DynAny </item>
608 <item> DynArray </item>
609 <item> DynEnum </item>
610 <item> DynFixed </item>
611 <item> DynSequence </item>
612 <item> DynStruct </item>
613 <item> DynUnion </item>
614 <item> DynValue </item>
615 <item> EditorKit </item>
616 <item> Element </item>
617 <item> ElementIterator </item>
618 <item> Ellipse2D </item>
619 <item> Ellipse2D.Double </item>
620 <item> Ellipse2D.Float </item>
621 <item> EmptyBorder </item>
622 <item> EmptyStackException </item>
623 <item> EncodedKeySpec </item>
624 <item> Entity </item>
625 <item> EnumControl </item>
626 <item> EnumControl.Type </item>
627 <item> Enumeration </item>
628 <item> Environment </item>
629 <item> EOFException </item>
630 <item> Error </item>
631 <item> EtchedBorder </item>
632 <item> Event </item>
633 <item> EventContext </item>
634 <item> EventDirContext </item>
635 <item> EventListener </item>
636 <item> EventListenerList </item>
637 <item> EventObject </item>
638 <item> EventQueue </item>
639 <item> EventSetDescriptor </item>
640 <item> Exception </item>
641 <item> ExceptionInInitializerError </item>
642 <item> ExceptionList </item>
643 <item> ExpandVetoException </item>
644 <item> ExportException </item>
645 <item> ExtendedRequest </item>
646 <item> ExtendedResponse </item>
647 <item> Externalizable </item>
648 <item> FeatureDescriptor </item>
649 <item> Field </item>
650 <item> FieldNameHelper </item>
651 <item> FieldPosition </item>
652 <item> FieldView </item>
653 <item> File </item>
654 <item> FileChooserUI </item>
655 <item> FileDescriptor </item>
656 <item> FileDialog </item>
657 <item> FileFilter </item>
658 <item> FileFilter </item>
659 <item> FileInputStream </item>
660 <item> FilenameFilter </item>
661 <item> FileNameMap </item>
662 <item> FileNotFoundException </item>
663 <item> FileOutputStream </item>
664 <item> FilePermission </item>
665 <item> FileReader </item>
666 <item> FileSystemView </item>
667 <item> FileView </item>
668 <item> FileWriter </item>
669 <item> FilteredImageSource </item>
670 <item> FilterInputStream </item>
671 <item> FilterOutputStream </item>
672 <item> FilterReader </item>
673 <item> FilterWriter </item>
674 <item> FixedHeightLayoutCache </item>
675 <item> FixedHolder </item>
676 <item> FlatteningPathIterator </item>
677 <item> FlavorMap </item>
678 <item> Float </item>
679 <item> FloatControl </item>
680 <item> FloatControl.Type </item>
681 <item> FloatHolder </item>
682 <item> FloatSeqHelper </item>
683 <item> FloatSeqHolder </item>
684 <item> FlowLayout </item>
685 <item> FlowView </item>
686 <item> FlowView.FlowStrategy </item>
687 <item> FocusAdapter </item>
688 <item> FocusEvent </item>
689 <item> FocusListener </item>
690 <item> FocusManager </item>
691 <item> Font </item>
692 <item> FontFormatException </item>
693 <item> FontMetrics </item>
694 <item> FontRenderContext </item>
695 <item> FontUIResource </item>
696 <item> Format </item>
697 <item> FormatConversionProvider </item>
698 <item> FormView </item>
699 <item> Frame </item>
700 <item> FREE_MEM </item>
701 <item> GapContent </item>
702 <item> GeneralPath </item>
703 <item> GeneralSecurityException </item>
704 <item> GlyphJustificationInfo </item>
705 <item> GlyphMetrics </item>
706 <item> GlyphVector </item>
707 <item> GlyphView </item>
708 <item> GlyphView.GlyphPainter </item>
709 <item> GradientPaint </item>
710 <item> GraphicAttribute </item>
711 <item> Graphics </item>
712 <item> Graphics2D </item>
713 <item> GraphicsConfigTemplate </item>
714 <item> GraphicsConfiguration </item>
715 <item> GraphicsDevice </item>
716 <item> GraphicsEnvironment </item>
717 <item> GrayFilter </item>
718 <item> GregorianCalendar </item>
719 <item> GridBagConstraints </item>
720 <item> GridBagLayout </item>
721 <item> GridLayout </item>
722 <item> Group </item>
723 <item> Guard </item>
724 <item> GuardedObject </item>
725 <item> GZIPInputStream </item>
726 <item> GZIPOutputStream </item>
727 <item> HasControls </item>
728 <item> HashMap </item>
729 <item> HashSet </item>
730 <item> Hashtable </item>
731 <item> HierarchyBoundsAdapter </item>
732 <item> HierarchyBoundsListener </item>
733 <item> HierarchyEvent </item>
734 <item> HierarchyListener </item>
735 <item> Highlighter </item>
736 <item> Highlighter.Highlight </item>
737 <item> Highlighter.HighlightPainter </item>
738 <item> HTML </item>
739 <item> HTML.Attribute </item>
740 <item> HTML.Tag </item>
741 <item> HTML.UnknownTag </item>
742 <item> HTMLDocument </item>
743 <item> HTMLDocument.Iterator </item>
744 <item> HTMLEditorKit </item>
745 <item> HTMLEditorKit.HTMLFactory </item>
746 <item> HTMLEditorKit.HTMLTextAction </item>
747 <item> HTMLEditorKit.InsertHTMLTextAction </item>
748 <item> HTMLEditorKit.LinkController </item>
749 <item> HTMLEditorKit.Parser </item>
750 <item> HTMLEditorKit.ParserCallback </item>
751 <item> HTMLFrameHyperlinkEvent </item>
752 <item> HTMLWriter </item>
753 <item> HttpURLConnection </item>
754 <item> HyperlinkEvent </item>
755 <item> HyperlinkEvent.EventType </item>
756 <item> HyperlinkListener </item>
757 <item> ICC_ColorSpace </item>
758 <item> ICC_Profile </item>
759 <item> ICC_ProfileGray </item>
760 <item> ICC_ProfileRGB </item>
761 <item> Icon </item>
762 <item> IconUIResource </item>
763 <item> IconView </item>
764 <item> IdentifierHelper </item>
765 <item> Identity </item>
766 <item> IdentityScope </item>
767 <item> IDLEntity </item>
768 <item> IDLType </item>
769 <item> IDLTypeHelper </item>
770 <item> IDLTypeOperations </item>
771 <item> IllegalAccessError </item>
772 <item> IllegalAccessException </item>
773 <item> IllegalArgumentException </item>
774 <item> IllegalComponentStateException </item>
775 <item> IllegalMonitorStateException </item>
776 <item> IllegalPathStateException </item>
777 <item> IllegalStateException </item>
778 <item> IllegalThreadStateException </item>
779 <item> Image </item>
780 <item> ImageConsumer </item>
781 <item> ImageFilter </item>
782 <item> ImageGraphicAttribute </item>
783 <item> ImageIcon </item>
784 <item> ImageObserver </item>
785 <item> ImageProducer </item>
786 <item> ImagingOpException </item>
787 <item> IMP_LIMIT </item>
788 <item> IncompatibleClassChangeError </item>
789 <item> InconsistentTypeCode </item>
790 <item> IndexColorModel </item>
791 <item> IndexedPropertyDescriptor </item>
792 <item> IndexOutOfBoundsException </item>
793 <item> IndirectionException </item>
794 <item> InetAddress </item>
795 <item> Inflater </item>
796 <item> InflaterInputStream </item>
797 <item> InheritableThreadLocal </item>
798 <item> InitialContext </item>
799 <item> InitialContextFactory </item>
800 <item> InitialContextFactoryBuilder </item>
801 <item> InitialDirContext </item>
802 <item> INITIALIZE </item>
803 <item> Initializer </item>
804 <item> InitialLdapContext </item>
805 <item> InlineView </item>
806 <item> InputContext </item>
807 <item> InputEvent </item>
808 <item> InputMap </item>
809 <item> InputMapUIResource </item>
810 <item> InputMethod </item>
811 <item> InputMethodContext </item>
812 <item> InputMethodDescriptor </item>
813 <item> InputMethodEvent </item>
814 <item> InputMethodHighlight </item>
815 <item> InputMethodListener </item>
816 <item> InputMethodRequests </item>
817 <item> InputStream </item>
818 <item> InputStreamReader </item>
819 <item> InputSubset </item>
820 <item> InputVerifier </item>
821 <item> Insets </item>
822 <item> InsetsUIResource </item>
823 <item> InstantiationError </item>
824 <item> InstantiationException </item>
825 <item> Instrument </item>
826 <item> InsufficientResourcesException </item>
827 <item> Integer </item>
828 <item> INTERNAL </item>
829 <item> InternalError </item>
830 <item> InternalFrameAdapter </item>
831 <item> InternalFrameEvent </item>
832 <item> InternalFrameListener </item>
833 <item> InternalFrameUI </item>
834 <item> InterruptedException </item>
835 <item> InterruptedIOException </item>
836 <item> InterruptedNamingException </item>
837 <item> INTF_REPOS </item>
838 <item> IntHolder </item>
839 <item> IntrospectionException </item>
840 <item> Introspector </item>
841 <item> INV_FLAG </item>
842 <item> INV_IDENT </item>
843 <item> INV_OBJREF </item>
844 <item> INV_POLICY </item>
845 <item> Invalid </item>
846 <item> INVALID_TRANSACTION </item>
847 <item> InvalidAlgorithmParameterException </item>
848 <item> InvalidAttributeIdentifierException </item>
849 <item> InvalidAttributesException </item>
850 <item> InvalidAttributeValueException </item>
851 <item> InvalidClassException </item>
852 <item> InvalidDnDOperationException </item>
853 <item> InvalidKeyException </item>
854 <item> InvalidKeySpecException </item>
855 <item> InvalidMidiDataException </item>
856 <item> InvalidName </item>
857 <item> InvalidNameException </item>
858 <item> InvalidNameHelper </item>
859 <item> InvalidNameHolder </item>
860 <item> InvalidObjectException </item>
861 <item> InvalidParameterException </item>
862 <item> InvalidParameterSpecException </item>
863 <item> InvalidSearchControlsException </item>
864 <item> InvalidSearchFilterException </item>
865 <item> InvalidSeq </item>
866 <item> InvalidTransactionException </item>
867 <item> InvalidValue </item>
868 <item> InvocationEvent </item>
869 <item> InvocationHandler </item>
870 <item> InvocationTargetException </item>
871 <item> InvokeHandler </item>
872 <item> IOException </item>
873 <item> IRObject </item>
874 <item> IRObjectOperations </item>
875 <item> IstringHelper </item>
876 <item> ItemEvent </item>
877 <item> ItemListener </item>
878 <item> ItemSelectable </item>
879 <item> Iterator </item>
880 <item> JApplet </item>
881 <item> JarEntry </item>
882 <item> JarException </item>
883 <item> JarFile </item>
884 <item> JarInputStream </item>
885 <item> JarOutputStream </item>
886 <item> JarURLConnection </item>
887 <item> JButton </item>
888 <item> JCheckBox </item>
889 <item> JCheckBoxMenuItem </item>
890 <item> JColorChooser </item>
891 <item> JComboBox </item>
892 <item> JComboBox.KeySelectionManager </item>
893 <item> JComponent </item>
894 <item> JDesktopPane </item>
895 <item> JDialog </item>
896 <item> JEditorPane </item>
897 <item> JFileChooser </item>
898 <item> JFrame </item>
899 <item> JInternalFrame </item>
900 <item> JInternalFrame.JDesktopIcon </item>
901 <item> JLabel </item>
902 <item> JLayeredPane </item>
903 <item> JList </item>
904 <item> JMenu </item>
905 <item> JMenuBar </item>
906 <item> JMenuItem </item>
907 <item> JobAttributes </item>
908 <item> JobAttributes.DefaultSelectionType </item>
909 <item> JobAttributes.DestinationType </item>
910 <item> JobAttributes.DialogType </item>
911 <item> JobAttributes.MultipleDocumentHandlingType </item>
912 <item> JobAttributes.SidesType </item>
913 <item> JOptionPane </item>
914 <item> JPanel </item>
915 <item> JPasswordField </item>
916 <item> JPopupMenu </item>
917 <item> JPopupMenu.Separator </item>
918 <item> JProgressBar </item>
919 <item> JRadioButton </item>
920 <item> JRadioButtonMenuItem </item>
921 <item> JRootPane </item>
922 <item> JScrollBar </item>
923 <item> JScrollPane </item>
924 <item> JSeparator </item>
925 <item> JSlider </item>
926 <item> JSplitPane </item>
927 <item> JTabbedPane </item>
928 <item> JTable </item>
929 <item> JTableHeader </item>
930 <item> JTextArea </item>
931 <item> JTextComponent </item>
932 <item> JTextComponent.KeyBinding </item>
933 <item> JTextField </item>
934 <item> JTextPane </item>
935 <item> JToggleButton </item>
936 <item> JToggleButton.ToggleButtonModel </item>
937 <item> JToolBar </item>
938 <item> JToolBar.Separator </item>
939 <item> JToolTip </item>
940 <item> JTree </item>
941 <item> JTree.DynamicUtilTreeNode </item>
942 <item> JTree.EmptySelectionModel </item>
943 <item> JViewport </item>
944 <item> JWindow </item>
945 <item> Kernel </item>
946 <item> Key </item>
947 <item> KeyAdapter </item>
948 <item> KeyEvent </item>
949 <item> KeyException </item>
950 <item> KeyFactory </item>
951 <item> KeyFactorySpi </item>
952 <item> KeyListener </item>
953 <item> KeyManagementException </item>
954 <item> Keymap </item>
955 <item> KeyPair </item>
956 <item> KeyPairGenerator </item>
957 <item> KeyPairGeneratorSpi </item>
958 <item> KeySpec </item>
959 <item> KeyStore </item>
960 <item> KeyStoreException </item>
961 <item> KeyStoreSpi </item>
962 <item> KeyStroke </item>
963 <item> Label </item>
964 <item> LabelUI </item>
965 <item> LabelView </item>
966 <item> LastOwnerException </item>
967 <item> LayeredHighlighter </item>
968 <item> LayeredHighlighter.LayerPainter </item>
969 <item> LayoutManager </item>
970 <item> LayoutManager2 </item>
971 <item> LayoutQueue </item>
972 <item> LdapContext </item>
973 <item> LdapReferralException </item>
974 <item> Lease </item>
975 <item> LimitExceededException </item>
976 <item> Line </item>
977 <item> Line.Info </item>
978 <item> Line2D </item>
979 <item> Line2D.Double </item>
980 <item> Line2D.Float </item>
981 <item> LineBorder </item>
982 <item> LineBreakMeasurer </item>
983 <item> LineEvent </item>
984 <item> LineEvent.Type </item>
985 <item> LineListener </item>
986 <item> LineMetrics </item>
987 <item> LineNumberInputStream </item>
988 <item> LineNumberReader </item>
989 <item> LineUnavailableException </item>
990 <item> LinkageError </item>
991 <item> LinkedList </item>
992 <item> LinkException </item>
993 <item> LinkLoopException </item>
994 <item> LinkRef </item>
995 <item> List </item>
996 <item> ListCellRenderer </item>
997 <item> ListDataEvent </item>
998 <item> ListDataListener </item>
999 <item> ListIterator </item>
1000 <item> ListModel </item>
1001 <item> ListResourceBundle </item>
1002 <item> ListSelectionEvent </item>
1003 <item> ListSelectionListener </item>
1004 <item> ListSelectionModel </item>
1005 <item> ListUI </item>
1006 <item> ListView </item>
1007 <item> LoaderHandler </item>
1008 <item> Locale </item>
1009 <item> LocateRegistry </item>
1010 <item> LogStream </item>
1011 <item> Long </item>
1012 <item> LongHolder </item>
1013 <item> LongLongSeqHelper </item>
1014 <item> LongLongSeqHolder </item>
1015 <item> LongSeqHelper </item>
1016 <item> LongSeqHolder </item>
1017 <item> LookAndFeel </item>
1018 <item> LookupOp </item>
1019 <item> LookupTable </item>
1020 <item> MalformedLinkException </item>
1021 <item> MalformedURLException </item>
1022 <item> Manifest </item>
1023 <item> Map </item>
1024 <item> Map.Entry </item>
1025 <item> MARSHAL </item>
1026 <item> MarshalException </item>
1027 <item> MarshalledObject </item>
1028 <item> Math </item>
1029 <item> MatteBorder </item>
1030 <item> MediaTracker </item>
1031 <item> Member </item>
1032 <item> MemoryImageSource </item>
1033 <item> Menu </item>
1034 <item> MenuBar </item>
1035 <item> MenuBarUI </item>
1036 <item> MenuComponent </item>
1037 <item> MenuContainer </item>
1038 <item> MenuDragMouseEvent </item>
1039 <item> MenuDragMouseListener </item>
1040 <item> MenuElement </item>
1041 <item> MenuEvent </item>
1042 <item> MenuItem </item>
1043 <item> MenuItemUI </item>
1044 <item> MenuKeyEvent </item>
1045 <item> MenuKeyListener </item>
1046 <item> MenuListener </item>
1047 <item> MenuSelectionManager </item>
1048 <item> MenuShortcut </item>
1049 <item> MessageDigest </item>
1050 <item> MessageDigestSpi </item>
1051 <item> MessageFormat </item>
1052 <item> MetaEventListener </item>
1053 <item> MetalBorders </item>
1054 <item> MetalBorders.ButtonBorder </item>
1055 <item> MetalBorders.Flush3DBorder </item>
1056 <item> MetalBorders.InternalFrameBorder </item>
1057 <item> MetalBorders.MenuBarBorder </item>
1058 <item> MetalBorders.MenuItemBorder </item>
1059 <item> MetalBorders.OptionDialogBorder </item>
1060 <item> MetalBorders.PaletteBorder </item>
1061 <item> MetalBorders.PopupMenuBorder </item>
1062 <item> MetalBorders.RolloverButtonBorder </item>
1063 <item> MetalBorders.ScrollPaneBorder </item>
1064 <item> MetalBorders.TableHeaderBorder </item>
1065 <item> MetalBorders.TextFieldBorder </item>
1066 <item> MetalBorders.ToggleButtonBorder </item>
1067 <item> MetalBorders.ToolBarBorder </item>
1068 <item> MetalButtonUI </item>
1069 <item> MetalCheckBoxIcon </item>
1070 <item> MetalCheckBoxUI </item>
1071 <item> MetalComboBoxButton </item>
1072 <item> MetalComboBoxEditor </item>
1073 <item> MetalComboBoxEditor.UIResource </item>
1074 <item> MetalComboBoxIcon </item>
1075 <item> MetalComboBoxUI </item>
1076 <item> MetalDesktopIconUI </item>
1077 <item> MetalFileChooserUI </item>
1078 <item> MetalIconFactory </item>
1079 <item> MetalIconFactory.FileIcon16 </item>
1080 <item> MetalIconFactory.FolderIcon16 </item>
1081 <item> MetalIconFactory.PaletteCloseIcon </item>
1082 <item> MetalIconFactory.TreeControlIcon </item>
1083 <item> MetalIconFactory.TreeFolderIcon </item>
1084 <item> MetalIconFactory.TreeLeafIcon </item>
1085 <item> MetalInternalFrameTitlePane </item>
1086 <item> MetalInternalFrameUI </item>
1087 <item> MetalLabelUI </item>
1088 <item> MetalLookAndFeel </item>
1089 <item> MetalPopupMenuSeparatorUI </item>
1090 <item> MetalProgressBarUI </item>
1091 <item> MetalRadioButtonUI </item>
1092 <item> MetalScrollBarUI </item>
1093 <item> MetalScrollButton </item>
1094 <item> MetalScrollPaneUI </item>
1095 <item> MetalSeparatorUI </item>
1096 <item> MetalSliderUI </item>
1097 <item> MetalSplitPaneUI </item>
1098 <item> MetalTabbedPaneUI </item>
1099 <item> MetalTextFieldUI </item>
1100 <item> MetalTheme </item>
1101 <item> MetalToggleButtonUI </item>
1102 <item> MetalToolBarUI </item>
1103 <item> MetalToolTipUI </item>
1104 <item> MetalTreeUI </item>
1105 <item> MetaMessage </item>
1106 <item> Method </item>
1107 <item> MethodDescriptor </item>
1108 <item> MidiChannel </item>
1109 <item> MidiDevice </item>
1110 <item> MidiDevice.Info </item>
1111 <item> MidiDeviceProvider </item>
1112 <item> MidiEvent </item>
1113 <item> MidiFileFormat </item>
1114 <item> MidiFileReader </item>
1115 <item> MidiFileWriter </item>
1116 <item> MidiMessage </item>
1117 <item> MidiSystem </item>
1118 <item> MidiUnavailableException </item>
1119 <item> MimeTypeParseException </item>
1120 <item> MinimalHTMLWriter </item>
1121 <item> MissingResourceException </item>
1122 <item> Mixer </item>
1123 <item> Mixer.Info </item>
1124 <item> MixerProvider </item>
1125 <item> ModificationItem </item>
1126 <item> Modifier </item>
1127 <item> MouseAdapter </item>
1128 <item> MouseDragGestureRecognizer </item>
1129 <item> MouseEvent </item>
1130 <item> MouseInputAdapter </item>
1131 <item> MouseInputListener </item>
1132 <item> MouseListener </item>
1133 <item> MouseMotionAdapter </item>
1134 <item> MouseMotionListener </item>
1135 <item> MultiButtonUI </item>
1136 <item> MulticastSocket </item>
1137 <item> MultiColorChooserUI </item>
1138 <item> MultiComboBoxUI </item>
1139 <item> MultiDesktopIconUI </item>
1140 <item> MultiDesktopPaneUI </item>
1141 <item> MultiFileChooserUI </item>
1142 <item> MultiInternalFrameUI </item>
1143 <item> MultiLabelUI </item>
1144 <item> MultiListUI </item>
1145 <item> MultiLookAndFeel </item>
1146 <item> MultiMenuBarUI </item>
1147 <item> MultiMenuItemUI </item>
1148 <item> MultiOptionPaneUI </item>
1149 <item> MultiPanelUI </item>
1150 <item> MultiPixelPackedSampleModel </item>
1151 <item> MultipleMaster </item>
1152 <item> MultiPopupMenuUI </item>
1153 <item> MultiProgressBarUI </item>
1154 <item> MultiScrollBarUI </item>
1155 <item> MultiScrollPaneUI </item>
1156 <item> MultiSeparatorUI </item>
1157 <item> MultiSliderUI </item>
1158 <item> MultiSplitPaneUI </item>
1159 <item> MultiTabbedPaneUI </item>
1160 <item> MultiTableHeaderUI </item>
1161 <item> MultiTableUI </item>
1162 <item> MultiTextUI </item>
1163 <item> MultiToolBarUI </item>
1164 <item> MultiToolTipUI </item>
1165 <item> MultiTreeUI </item>
1166 <item> MultiViewportUI </item>
1167 <item> MutableAttributeSet </item>
1168 <item> MutableComboBoxModel </item>
1169 <item> MutableTreeNode </item>
1170 <item> Name </item>
1171 <item> NameAlreadyBoundException </item>
1172 <item> NameClassPair </item>
1173 <item> NameComponent </item>
1174 <item> NameComponentHelper </item>
1175 <item> NameComponentHolder </item>
1176 <item> NamedValue </item>
1177 <item> NameHelper </item>
1178 <item> NameHolder </item>
1179 <item> NameNotFoundException </item>
1180 <item> NameParser </item>
1181 <item> NamespaceChangeListener </item>
1182 <item> NameValuePair </item>
1183 <item> NameValuePairHelper </item>
1184 <item> Naming </item>
1185 <item> NamingContext </item>
1186 <item> NamingContextHelper </item>
1187 <item> NamingContextHolder </item>
1188 <item> NamingContextOperations </item>
1189 <item> NamingEnumeration </item>
1190 <item> NamingEvent </item>
1191 <item> NamingException </item>
1192 <item> NamingExceptionEvent </item>
1193 <item> NamingListener </item>
1194 <item> NamingManager </item>
1195 <item> NamingSecurityException </item>
1196 <item> NegativeArraySizeException </item>
1197 <item> NetPermission </item>
1198 <item> NO_IMPLEMENT </item>
1199 <item> NO_MEMORY </item>
1200 <item> NO_PERMISSION </item>
1201 <item> NO_RESOURCES </item>
1202 <item> NO_RESPONSE </item>
1203 <item> NoClassDefFoundError </item>
1204 <item> NoInitialContextException </item>
1205 <item> NoninvertibleTransformException </item>
1206 <item> NoPermissionException </item>
1207 <item> NoRouteToHostException </item>
1208 <item> NoSuchAlgorithmException </item>
1209 <item> NoSuchAttributeException </item>
1210 <item> NoSuchElementException </item>
1211 <item> NoSuchFieldError </item>
1212 <item> NoSuchFieldException </item>
1213 <item> NoSuchMethodError </item>
1214 <item> NoSuchMethodException </item>
1215 <item> NoSuchObjectException </item>
1216 <item> NoSuchProviderException </item>
1217 <item> NotActiveException </item>
1218 <item> NotBoundException </item>
1219 <item> NotContextException </item>
1220 <item> NotEmpty </item>
1221 <item> NotEmptyHelper </item>
1222 <item> NotEmptyHolder </item>
1223 <item> NotFound </item>
1224 <item> NotFoundHelper </item>
1225 <item> NotFoundHolder </item>
1226 <item> NotFoundReason </item>
1227 <item> NotFoundReasonHelper </item>
1228 <item> NotFoundReasonHolder </item>
1229 <item> NotOwnerException </item>
1230 <item> NotSerializableException </item>
1231 <item> NullPointerException </item>
1232 <item> Number </item>
1233 <item> NumberFormat </item>
1234 <item> NumberFormatException </item>
1235 <item> NVList </item>
1236 <item> OBJ_ADAPTER </item>
1237 <item> Object </item>
1238 <item> OBJECT_NOT_EXIST </item>
1239 <item> ObjectChangeListener </item>
1240 <item> ObjectFactory </item>
1241 <item> ObjectFactoryBuilder </item>
1242 <item> ObjectHelper </item>
1243 <item> ObjectHolder </item>
1244 <item> ObjectImpl </item>
1245 <item> ObjectImpl </item>
1246 <item> ObjectInput </item>
1247 <item> ObjectInputStream </item>
1248 <item> ObjectInputStream.GetField </item>
1249 <item> ObjectInputValidation </item>
1250 <item> ObjectOutput </item>
1251 <item> ObjectOutputStream </item>
1252 <item> ObjectOutputStream.PutField </item>
1253 <item> ObjectStreamClass </item>
1254 <item> ObjectStreamConstants </item>
1255 <item> ObjectStreamException </item>
1256 <item> ObjectStreamField </item>
1257 <item> ObjectView </item>
1258 <item> ObjID </item>
1259 <item> Observable </item>
1260 <item> Observer </item>
1261 <item> OctetSeqHelper </item>
1262 <item> OctetSeqHolder </item>
1263 <item> OMGVMCID </item>
1264 <item> OpenType </item>
1265 <item> Operation </item>
1266 <item> OperationNotSupportedException </item>
1267 <item> Option </item>
1268 <item> OptionalDataException </item>
1269 <item> OptionPaneUI </item>
1270 <item> ORB </item>
1271 <item> OutOfMemoryError </item>
1272 <item> OutputStream </item>
1273 <item> OutputStreamWriter </item>
1274 <item> OverlayLayout </item>
1275 <item> Owner </item>
1276 <item> Package </item>
1277 <item> PackedColorModel </item>
1278 <item> Pageable </item>
1279 <item> PageAttributes </item>
1280 <item> PageAttributes.ColorType </item>
1281 <item> PageAttributes.MediaType </item>
1282 <item> PageAttributes.OrientationRequestedType </item>
1283 <item> PageAttributes.OriginType </item>
1284 <item> PageAttributes.PrintQualityType </item>
1285 <item> PageFormat </item>
1286 <item> Paint </item>
1287 <item> PaintContext </item>
1288 <item> PaintEvent </item>
1289 <item> Panel </item>
1290 <item> PanelUI </item>
1291 <item> Paper </item>
1292 <item> ParagraphView </item>
1293 <item> ParameterBlock </item>
1294 <item> ParameterDescriptor </item>
1295 <item> ParseException </item>
1296 <item> ParsePosition </item>
1297 <item> Parser </item>
1298 <item> ParserDelegator </item>
1299 <item> PartialResultException </item>
1300 <item> PasswordAuthentication </item>
1301 <item> PasswordView </item>
1302 <item> Patch </item>
1303 <item> PathIterator </item>
1304 <item> Permission </item>
1305 <item> PermissionCollection </item>
1306 <item> Permissions </item>
1307 <item> PERSIST_STORE </item>
1308 <item> PhantomReference </item>
1309 <item> PipedInputStream </item>
1310 <item> PipedOutputStream </item>
1311 <item> PipedReader </item>
1312 <item> PipedWriter </item>
1313 <item> PixelGrabber </item>
1314 <item> PixelInterleavedSampleModel </item>
1315 <item> PKCS8EncodedKeySpec </item>
1316 <item> PlainDocument </item>
1317 <item> PlainView </item>
1318 <item> Point </item>
1319 <item> Point2D </item>
1320 <item> Point2D.Double </item>
1321 <item> Point2D.Float </item>
1322 <item> Policy </item>
1323 <item> Policy </item>
1324 <item> PolicyError </item>
1325 <item> PolicyHelper </item>
1326 <item> PolicyHolder </item>
1327 <item> PolicyListHelper </item>
1328 <item> PolicyListHolder </item>
1329 <item> PolicyOperations </item>
1330 <item> PolicyTypeHelper </item>
1331 <item> Polygon </item>
1332 <item> PopupMenu </item>
1333 <item> PopupMenuEvent </item>
1334 <item> PopupMenuListener </item>
1335 <item> PopupMenuUI </item>
1336 <item> Port </item>
1337 <item> Port.Info </item>
1338 <item> PortableRemoteObject </item>
1339 <item> PortableRemoteObjectDelegate </item>
1340 <item> Position </item>
1341 <item> Position.Bias </item>
1342 <item> PreparedStatement </item>
1343 <item> Principal </item>
1344 <item> PrincipalHolder </item>
1345 <item> Printable </item>
1346 <item> PrinterAbortException </item>
1347 <item> PrinterException </item>
1348 <item> PrinterGraphics </item>
1349 <item> PrinterIOException </item>
1350 <item> PrinterJob </item>
1351 <item> PrintGraphics </item>
1352 <item> PrintJob </item>
1353 <item> PrintStream </item>
1354 <item> PrintWriter </item>
1355 <item> PRIVATE_MEMBER </item>
1356 <item> PrivateKey </item>
1357 <item> PrivilegedAction </item>
1358 <item> PrivilegedActionException </item>
1359 <item> PrivilegedExceptionAction </item>
1360 <item> Process </item>
1361 <item> ProfileDataException </item>
1362 <item> ProgressBarUI </item>
1363 <item> ProgressMonitor </item>
1364 <item> ProgressMonitorInputStream </item>
1365 <item> Properties </item>
1366 <item> PropertyChangeEvent </item>
1367 <item> PropertyChangeListener </item>
1368 <item> PropertyChangeSupport </item>
1369 <item> PropertyDescriptor </item>
1370 <item> PropertyEditor </item>
1371 <item> PropertyEditorManager </item>
1372 <item> PropertyEditorSupport </item>
1373 <item> PropertyPermission </item>
1374 <item> PropertyResourceBundle </item>
1375 <item> PropertyVetoException </item>
1376 <item> ProtectionDomain </item>
1377 <item> ProtocolException </item>
1378 <item> Provider </item>
1379 <item> ProviderException </item>
1380 <item> Proxy </item>
1381 <item> PUBLIC_MEMBER </item>
1382 <item> PublicKey </item>
1383 <item> PushbackInputStream </item>
1384 <item> PushbackReader </item>
1385 <item> QuadCurve2D </item>
1386 <item> QuadCurve2D.Double </item>
1387 <item> QuadCurve2D.Float </item>
1388 <item> Random </item>
1389 <item> RandomAccessFile </item>
1390 <item> Raster </item>
1391 <item> RasterFormatException </item>
1392 <item> RasterOp </item>
1393 <item> Reader </item>
1394 <item> Receiver </item>
1395 <item> Rectangle </item>
1396 <item> Rectangle2D </item>
1397 <item> Rectangle2D.Double </item>
1398 <item> Rectangle2D.Float </item>
1399 <item> RectangularShape </item>
1400 <item> Ref </item>
1401 <item> RefAddr </item>
1402 <item> Reference </item>
1403 <item> Referenceable </item>
1404 <item> ReferenceQueue </item>
1405 <item> ReferralException </item>
1406 <item> ReflectPermission </item>
1407 <item> Registry </item>
1408 <item> RegistryHandler </item>
1409 <item> RemarshalException </item>
1410 <item> Remote </item>
1411 <item> RemoteCall </item>
1412 <item> RemoteException </item>
1413 <item> RemoteObject </item>
1414 <item> RemoteRef </item>
1415 <item> RemoteServer </item>
1416 <item> RemoteStub </item>
1417 <item> RenderableImage </item>
1418 <item> RenderableImageOp </item>
1419 <item> RenderableImageProducer </item>
1420 <item> RenderContext </item>
1421 <item> RenderedImage </item>
1422 <item> RenderedImageFactory </item>
1423 <item> Renderer </item>
1424 <item> RenderingHints </item>
1425 <item> RenderingHints.Key </item>
1426 <item> RepaintManager </item>
1427 <item> ReplicateScaleFilter </item>
1428 <item> Repository </item>
1429 <item> RepositoryIdHelper </item>
1430 <item> Request </item>
1431 <item> RescaleOp </item>
1432 <item> Resolver </item>
1433 <item> ResolveResult </item>
1434 <item> ResourceBundle </item>
1435 <item> ResponseHandler </item>
1436 <item> ResultSet </item>
1437 <item> ResultSetMetaData </item>
1438 <item> ReverbType </item>
1439 <item> RGBImageFilter </item>
1440 <item> RMIClassLoader </item>
1441 <item> RMIClientSocketFactory </item>
1442 <item> RMIFailureHandler </item>
1443 <item> RMISecurityException </item>
1444 <item> RMISecurityManager </item>
1445 <item> RMIServerSocketFactory </item>
1446 <item> RMISocketFactory </item>
1447 <item> Robot </item>
1448 <item> RootPaneContainer </item>
1449 <item> RootPaneUI </item>
1450 <item> RoundRectangle2D </item>
1451 <item> RoundRectangle2D.Double </item>
1452 <item> RoundRectangle2D.Float </item>
1453 <item> RowMapper </item>
1454 <item> RSAKey </item>
1455 <item> RSAKeyGenParameterSpec </item>
1456 <item> RSAPrivateCrtKey </item>
1457 <item> RSAPrivateCrtKeySpec </item>
1458 <item> RSAPrivateKey </item>
1459 <item> RSAPrivateKeySpec </item>
1460 <item> RSAPublicKey </item>
1461 <item> RSAPublicKeySpec </item>
1462 <item> RTFEditorKit </item>
1463 <item> RuleBasedCollator </item>
1464 <item> Runnable </item>
1465 <item> Runtime </item>
1466 <item> RunTime </item>
1467 <item> RuntimeException </item>
1468 <item> RunTimeOperations </item>
1469 <item> RuntimePermission </item>
1470 <item> SampleModel </item>
1471 <item> SchemaViolationException </item>
1472 <item> Scrollable </item>
1473 <item> Scrollbar </item>
1474 <item> ScrollBarUI </item>
1475 <item> ScrollPane </item>
1476 <item> ScrollPaneConstants </item>
1477 <item> ScrollPaneLayout </item>
1478 <item> ScrollPaneLayout.UIResource </item>
1479 <item> ScrollPaneUI </item>
1480 <item> SearchControls </item>
1481 <item> SearchResult </item>
1482 <item> SecureClassLoader </item>
1483 <item> SecureRandom </item>
1484 <item> SecureRandomSpi </item>
1485 <item> Security </item>
1486 <item> SecurityException </item>
1487 <item> SecurityManager </item>
1488 <item> SecurityPermission </item>
1489 <item> Segment </item>
1490 <item> SeparatorUI </item>
1491 <item> Sequence </item>
1492 <item> SequenceInputStream </item>
1493 <item> Sequencer </item>
1494 <item> Sequencer.SyncMode </item>
1495 <item> Serializable </item>
1496 <item> SerializablePermission </item>
1497 <item> ServantObject </item>
1498 <item> ServerCloneException </item>
1499 <item> ServerError </item>
1500 <item> ServerException </item>
1501 <item> ServerNotActiveException </item>
1502 <item> ServerRef </item>
1503 <item> ServerRequest </item>
1504 <item> ServerRuntimeException </item>
1505 <item> ServerSocket </item>
1506 <item> ServiceDetail </item>
1507 <item> ServiceDetailHelper </item>
1508 <item> ServiceInformation </item>
1509 <item> ServiceInformationHelper </item>
1510 <item> ServiceInformationHolder </item>
1511 <item> ServiceUnavailableException </item>
1512 <item> Set </item>
1513 <item> SetOverrideType </item>
1514 <item> SetOverrideTypeHelper </item>
1515 <item> Shape </item>
1516 <item> ShapeGraphicAttribute </item>
1517 <item> Short </item>
1518 <item> ShortHolder </item>
1519 <item> ShortLookupTable </item>
1520 <item> ShortMessage </item>
1521 <item> ShortSeqHelper </item>
1522 <item> ShortSeqHolder </item>
1523 <item> Signature </item>
1524 <item> SignatureException </item>
1525 <item> SignatureSpi </item>
1526 <item> SignedObject </item>
1527 <item> Signer </item>
1528 <item> SimpleAttributeSet </item>
1529 <item> SimpleBeanInfo </item>
1530 <item> SimpleDateFormat </item>
1531 <item> SimpleTimeZone </item>
1532 <item> SinglePixelPackedSampleModel </item>
1533 <item> SingleSelectionModel </item>
1534 <item> SizeLimitExceededException </item>
1535 <item> SizeRequirements </item>
1536 <item> SizeSequence </item>
1537 <item> Skeleton </item>
1538 <item> SkeletonMismatchException </item>
1539 <item> SkeletonNotFoundException </item>
1540 <item> SliderUI </item>
1541 <item> Socket </item>
1542 <item> SocketException </item>
1543 <item> SocketImpl </item>
1544 <item> SocketImplFactory </item>
1545 <item> SocketOptions </item>
1546 <item> SocketPermission </item>
1547 <item> SocketSecurityException </item>
1548 <item> SoftBevelBorder </item>
1549 <item> SoftReference </item>
1550 <item> SortedMap </item>
1551 <item> SortedSet </item>
1552 <item> Soundbank </item>
1553 <item> SoundbankReader </item>
1554 <item> SoundbankResource </item>
1555 <item> SourceDataLine </item>
1556 <item> SplitPaneUI </item>
1557 <item> SQLData </item>
1558 <item> SQLException </item>
1559 <item> SQLInput </item>
1560 <item> SQLOutput </item>
1561 <item> SQLPermission </item>
1562 <item> SQLWarning </item>
1563 <item> Stack </item>
1564 <item> StackOverflowError </item>
1565 <item> StateEdit </item>
1566 <item> StateEditable </item>
1567 <item> StateFactory </item>
1568 <item> Statement </item>
1569 <item> Streamable </item>
1570 <item> StreamableValue </item>
1571 <item> StreamCorruptedException </item>
1572 <item> StreamTokenizer </item>
1573 <item> StrictMath </item>
1574 <item> String </item>
1575 <item> StringBuffer </item>
1576 <item> StringBufferInputStream </item>
1577 <item> StringCharacterIterator </item>
1578 <item> StringContent </item>
1579 <item> StringHolder </item>
1580 <item> StringIndexOutOfBoundsException </item>
1581 <item> StringReader </item>
1582 <item> StringRefAddr </item>
1583 <item> StringSelection </item>
1584 <item> StringTokenizer </item>
1585 <item> StringValueHelper </item>
1586 <item> StringWriter </item>
1587 <item> Stroke </item>
1588 <item> Struct </item>
1589 <item> StructMember </item>
1590 <item> StructMemberHelper </item>
1591 <item> Stub </item>
1592 <item> StubDelegate </item>
1593 <item> StubNotFoundException </item>
1594 <item> Style </item>
1595 <item> StyleConstants </item>
1596 <item> StyleConstants.CharacterConstants </item>
1597 <item> StyleConstants.ColorConstants </item>
1598 <item> StyleConstants.FontConstants </item>
1599 <item> StyleConstants.ParagraphConstants </item>
1600 <item> StyleContext </item>
1601 <item> StyledDocument </item>
1602 <item> StyledEditorKit </item>
1603 <item> StyledEditorKit.AlignmentAction </item>
1604 <item> StyledEditorKit.BoldAction </item>
1605 <item> StyledEditorKit.FontFamilyAction </item>
1606 <item> StyledEditorKit.FontSizeAction </item>
1607 <item> StyledEditorKit.ForegroundAction </item>
1608 <item> StyledEditorKit.ItalicAction </item>
1609 <item> StyledEditorKit.StyledTextAction </item>
1610 <item> StyledEditorKit.UnderlineAction </item>
1611 <item> StyleSheet </item>
1612 <item> StyleSheet.BoxPainter </item>
1613 <item> StyleSheet.ListPainter </item>
1614 <item> SwingConstants </item>
1615 <item> SwingPropertyChangeSupport </item>
1616 <item> SwingUtilities </item>
1617 <item> SyncFailedException </item>
1618 <item> Synthesizer </item>
1619 <item> SysexMessage </item>
1620 <item> System </item>
1621 <item> SystemColor </item>
1622 <item> SystemException </item>
1623 <item> SystemFlavorMap </item>
1624 <item> TabableView </item>
1625 <item> TabbedPaneUI </item>
1626 <item> TabExpander </item>
1627 <item> TableCellEditor </item>
1628 <item> TableCellRenderer </item>
1629 <item> TableColumn </item>
1630 <item> TableColumnModel </item>
1631 <item> TableColumnModelEvent </item>
1632 <item> TableColumnModelListener </item>
1633 <item> TableHeaderUI </item>
1634 <item> TableModel </item>
1635 <item> TableModelEvent </item>
1636 <item> TableModelListener </item>
1637 <item> TableUI </item>
1638 <item> TableView </item>
1639 <item> TabSet </item>
1640 <item> TabStop </item>
1641 <item> TagElement </item>
1642 <item> TargetDataLine </item>
1643 <item> TCKind </item>
1644 <item> TextAction </item>
1645 <item> TextArea </item>
1646 <item> TextAttribute </item>
1647 <item> TextComponent </item>
1648 <item> TextEvent </item>
1649 <item> TextField </item>
1650 <item> TextHitInfo </item>
1651 <item> TextLayout </item>
1652 <item> TextLayout.CaretPolicy </item>
1653 <item> TextListener </item>
1654 <item> TextMeasurer </item>
1655 <item> TextUI </item>
1656 <item> TexturePaint </item>
1657 <item> Thread </item>
1658 <item> ThreadDeath </item>
1659 <item> ThreadGroup </item>
1660 <item> ThreadLocal </item>
1661 <item> Throwable </item>
1662 <item> Tie </item>
1663 <item> TileObserver </item>
1664 <item> Time </item>
1665 <item> TimeLimitExceededException </item>
1666 <item> Timer </item>
1667 <item> Timer </item>
1668 <item> TimerTask </item>
1669 <item> Timestamp </item>
1670 <item> TimeZone </item>
1671 <item> TitledBorder </item>
1672 <item> ToolBarUI </item>
1673 <item> Toolkit </item>
1674 <item> ToolTipManager </item>
1675 <item> ToolTipUI </item>
1676 <item> TooManyListenersException </item>
1677 <item> Track </item>
1678 <item> TRANSACTION_REQUIRED </item>
1679 <item> TRANSACTION_ROLLEDBACK </item>
1680 <item> TransactionRequiredException </item>
1681 <item> TransactionRolledbackException </item>
1682 <item> Transferable </item>
1683 <item> TransformAttribute </item>
1684 <item> TRANSIENT </item>
1685 <item> Transmitter </item>
1686 <item> Transparency </item>
1687 <item> TreeCellEditor </item>
1688 <item> TreeCellRenderer </item>
1689 <item> TreeExpansionEvent </item>
1690 <item> TreeExpansionListener </item>
1691 <item> TreeMap </item>
1692 <item> TreeModel </item>
1693 <item> TreeModelEvent </item>
1694 <item> TreeModelListener </item>
1695 <item> TreeNode </item>
1696 <item> TreePath </item>
1697 <item> TreeSelectionEvent </item>
1698 <item> TreeSelectionListener </item>
1699 <item> TreeSelectionModel </item>
1700 <item> TreeSet </item>
1701 <item> TreeUI </item>
1702 <item> TreeWillExpandListener </item>
1703 <item> TypeCode </item>
1704 <item> TypeCodeHolder </item>
1705 <item> TypeMismatch </item>
1706 <item> Types </item>
1707 <item> UID </item>
1708 <item> UIDefaults </item>
1709 <item> UIDefaults.ActiveValue </item>
1710 <item> UIDefaults.LazyInputMap </item>
1711 <item> UIDefaults.LazyValue </item>
1712 <item> UIDefaults.ProxyLazyValue </item>
1713 <item> UIManager </item>
1714 <item> UIManager.LookAndFeelInfo </item>
1715 <item> UIResource </item>
1716 <item> ULongLongSeqHelper </item>
1717 <item> ULongLongSeqHolder </item>
1718 <item> ULongSeqHelper </item>
1719 <item> ULongSeqHolder </item>
1720 <item> UndeclaredThrowableException </item>
1721 <item> UndoableEdit </item>
1722 <item> UndoableEditEvent </item>
1723 <item> UndoableEditListener </item>
1724 <item> UndoableEditSupport </item>
1725 <item> UndoManager </item>
1726 <item> UnexpectedException </item>
1727 <item> UnicastRemoteObject </item>
1728 <item> UnionMember </item>
1729 <item> UnionMemberHelper </item>
1730 <item> UNKNOWN </item>
1731 <item> UnknownError </item>
1732 <item> UnknownException </item>
1733 <item> UnknownGroupException </item>
1734 <item> UnknownHostException </item>
1735 <item> UnknownHostException </item>
1736 <item> UnknownObjectException </item>
1737 <item> UnknownServiceException </item>
1738 <item> UnknownUserException </item>
1739 <item> UnmarshalException </item>
1740 <item> UnrecoverableKeyException </item>
1741 <item> Unreferenced </item>
1742 <item> UnresolvedPermission </item>
1743 <item> UnsatisfiedLinkError </item>
1744 <item> UnsolicitedNotification </item>
1745 <item> UnsolicitedNotificationEvent </item>
1746 <item> UnsolicitedNotificationListener </item>
1747 <item> UNSUPPORTED_POLICY </item>
1748 <item> UNSUPPORTED_POLICY_VALUE </item>
1749 <item> UnsupportedAudioFileException </item>
1750 <item> UnsupportedClassVersionError </item>
1751 <item> UnsupportedEncodingException </item>
1752 <item> UnsupportedFlavorException </item>
1753 <item> UnsupportedLookAndFeelException </item>
1754 <item> UnsupportedOperationException </item>
1755 <item> URL </item>
1756 <item> URLClassLoader </item>
1757 <item> URLConnection </item>
1758 <item> URLDecoder </item>
1759 <item> URLEncoder </item>
1760 <item> URLStreamHandler </item>
1761 <item> URLStreamHandlerFactory </item>
1762 <item> UserException </item>
1763 <item> UShortSeqHelper </item>
1764 <item> UShortSeqHolder </item>
1765 <item> UTFDataFormatException </item>
1766 <item> Util </item>
1767 <item> UtilDelegate </item>
1768 <item> Utilities </item>
1769 <item> ValueBase </item>
1770 <item> ValueBaseHelper </item>
1771 <item> ValueBaseHolder </item>
1772 <item> ValueFactory </item>
1773 <item> ValueHandler </item>
1774 <item> ValueMember </item>
1775 <item> ValueMemberHelper </item>
1776 <item> VariableHeightLayoutCache </item>
1777 <item> Vector </item>
1778 <item> VerifyError </item>
1779 <item> VersionSpecHelper </item>
1780 <item> VetoableChangeListener </item>
1781 <item> VetoableChangeSupport </item>
1782 <item> View </item>
1783 <item> ViewFactory </item>
1784 <item> ViewportLayout </item>
1785 <item> ViewportUI </item>
1786 <item> VirtualMachineError </item>
1787 <item> Visibility </item>
1788 <item> VisibilityHelper </item>
1789 <item> VM_ABSTRACT </item>
1790 <item> VM_CUSTOM </item>
1791 <item> VM_NONE </item>
1792 <item> VM_TRUNCATABLE </item>
1793 <item> VMID </item>
1794 <item> VoiceStatus </item>
1795 <item> Void </item>
1796 <item> WCharSeqHelper </item>
1797 <item> WCharSeqHolder </item>
1798 <item> WeakHashMap </item>
1799 <item> WeakReference </item>
1800 <item> Window </item>
1801 <item> WindowAdapter </item>
1802 <item> WindowConstants </item>
1803 <item> WindowEvent </item>
1804 <item> WindowListener </item>
1805 <item> WrappedPlainView </item>
1806 <item> WritableRaster </item>
1807 <item> WritableRenderedImage </item>
1808 <item> WriteAbortedException </item>
1809 <item> Writer </item>
1810 <item> WrongTransaction </item>
1811 <item> WStringValueHelper </item>
1812 <item> X509Certificate </item>
1813 <item> X509CRL </item>
1814 <item> X509CRLEntry </item>
1815 <item> X509EncodedKeySpec </item>
1816 <item> X509Extension </item>
1817 <item> ZipEntry </item>
1818 <item> ZipException </item>
1819 <item> ZipFile </item>
1820 <item> ZipInputStream </item>
1821 <item> ZipOutputStream </item>
1822 <item> ZoneView </item>
1823 <item> _BindingIteratorImplBase </item>
1824 <item> _BindingIteratorStub </item>
1825 <item> _IDLTypeStub </item>
1826 <item> _NamingContextImplBase </item>
1827 <item> _NamingContextStub </item>
1828 <item> _PolicyStub </item>
1829 <item> _Remote_Stub </item>
1830 </list>
1831
1832 <list name="keywords">
1833 <item> abstract </item>
1834 <item> break </item>
1835 <item> case </item>
1836 <item> catch </item>
1837 <item> class </item>
1838 <item> continue </item>
1839 <item> default </item>
1840 <item> do </item>
1841 <item> else </item>
1842 <item> extends </item>
1843 <item> false </item>
1844 <item> finally </item>
1845 <item> for </item>
1846 <item> goto </item>
1847 <item> if </item>
1848 <item> implements </item>
1849 <item> import </item>
1850 <item> instanceof </item>
1851 <item> interface </item>
1852 <item> native </item>
1853 <item> new </item>
1854 <item> null </item>
1855 <item> package </item>
1856 <item> private </item>
1857 <item> protected </item>
1858 <item> public </item>
1859 <item> return </item>
1860 <item> super </item>
1861 <item> strictfp </item>
1862 <item> switch </item>
1863 <item> synchronized </item>
1864 <item> this </item>
1865 <item> throws </item>
1866 <item> throw </item>
1867 <item> transient </item>
1868 <item> true </item>
1869 <item> try </item>
1870 <item> volatile </item>
1871 <item> while </item>
1872 </list>
1873 <list name="types">
1874 <item> boolean </item>
1875 <item> byte </item>
1876 <item> char </item>
1877 <item> const </item>
1878 <item> double </item>
1879 <item> final </item>
1880 <item> float </item>
1881 <item> int </item>
1882 <item> long </item>
1883 <item> short </item>
1884 <item> static </item>
1885 <item> void </item>
1886 </list>
1887 <contexts>
1888 <context attribute="0" lineEndContext="0" name="Normal">
1889 <keyword attribute="1" context="0" String="keywords"/>
1890 <keyword attribute="2" context="0" String="types"/>
1891 <keyword attribute="Java13" context="0" String="java13"/>
1892 <Float attribute="6" context="0">
1893 <AnyChar String="fF" attribute="6" context="0"/>
1894 </Float>
1895 <HlCOct attribute="4" context="0"/>
1896 <HlCHex attribute="5" context="0"/>
1897 <Int attribute="3" context="0">
1898 <StringDetect attribute="3" context="0" String="ULL" insensitive="TRUE"/>
1899 <StringDetect attribute="3" context="0" String="LUL" insensitive="TRUE"/>
1900 <StringDetect attribute="3" context="0" String="LLU" insensitive="TRUE"/>
1901 <StringDetect attribute="3" context="0" String="UL" insensitive="TRUE"/>
1902 <StringDetect attribute="3" context="0" String="LU" insensitive="TRUE"/>
1903 <StringDetect attribute="3" context="0" String="LL" insensitive="TRUE"/>
1904 <StringDetect attribute="3" context="0" String="U" insensitive="TRUE"/>
1905 <StringDetect attribute="3" context="0" String="L" insensitive="TRUE"/>
1906 </Int>
1907 <HlCChar attribute="7" context="0"/>
1908 <DetectChar attribute="8" context="1" char="&quot;"/>
1909 <Detect2Chars attribute="10" context="2" char="/" char1="/"/>
1910 <Detect2Chars attribute="10" context="3" char="/" char1="*"/>
1911 <AnyChar attribute="11" context="0" String="!%&amp;()+,-&lt;=&gt;?[]^{|}~"/>
1912 </context>
1913 <context attribute="8" lineEndContext="0" name="String">
1914 <LineContinue attribute="8" context="#stay"/>
1915 <HlCStringChar attribute="9" context="1"/>
1916 <DetectChar attribute="8" context="0" char="&quot;"/>
1917 </context>
1918 <context attribute="10" lineEndContext="0" name="Commentar 1"/>
1919 <context attribute="10" lineEndContext="3" name="Commentar 2">
1920 <Detect2Chars attribute="10" context="0" char="*" char1="/"/>
1921 </context>
1922 </contexts>
1923 <itemDatas>
1924 <itemData name="Normal Text" defStyleNum="dsNormal"/>
1925 <itemData name="Keyword" defStyleNum="dsKeyword"/>
1926 <itemData name="Data Type" defStyleNum="dsDataType"/>
1927 <itemData name="Decimal" defStyleNum="dsDecVal"/>
1928 <itemData name="Octal" defStyleNum="dsBaseN"/>
1929 <itemData name="Hex" defStyleNum="dsBaseN"/>
1930 <itemData name="Float" defStyleNum="dsFloat"/>
1931 <itemData name="Char" defStyleNum="dsChar"/>
1932 <itemData name="String" defStyleNum="dsString"/>
1933 <itemData name="String Char" defStyleNum="dsChar"/>
1934 <itemData name="Comment" defStyleNum="dsComment"/>
1935 <itemData name="Symbol" defStyleNum="dsNormal"/>
1936 <itemData name="Java13" defStyleNum="dsNormal" color="#0095FF" selColor="#FFFFFF" bold="1" italic="0"/>
1937 </itemDatas>
1938 </highlighting>
1939 <general>
1940 <comments>
1941 <comment name="singleLine" start="//"/>
1942 <comment name="multiLine" start="/*" end="*/"/>
1943 </comments>
1944 <keywords casesensitive="1"/>
1945 </general>
1946</language>
diff --git a/share/tinykate/syntax/javascript.xml b/share/tinykate/syntax/javascript.xml
new file mode 100644
index 0000000..e2f05d8
--- a/dev/null
+++ b/share/tinykate/syntax/javascript.xml
@@ -0,0 +1,117 @@
1<?xml version="1.0" encoding="UTF-8"?>
2<!DOCTYPE language SYSTEM "language.dtd">
3<!-- Author: Anders Lund <anders@alweb.dk> //-->
4<!-- Minimal javascript support //-->
5<language name="JavaScript" version="0.1" kateversion="2.0" section="Scripts" extensions="*.js" mimetype="text/x-javascript">
6 <highlighting>
7 <list name="keywords">
8 <item> if </item>
9 <item> else </item>
10 <item> for </item>
11 <item> in </item>
12 <item> while </item>
13 <item> do </item>
14 <item> continue </item>
15 <item> break </item>
16 <item> with </item>
17 <item> try </item>
18 <item> catch </item>
19 <item> switch </item>
20 <item> case </item>
21 <item> new </item>
22 <item> var </item>
23 <item> function </item>
24 <item> return </item>
25 <item> this </item>
26 <item> delete </item>
27 <item> true </item>
28 <item> false </item>
29 <item> void </item>
30 <item> throw </item>
31 <item> typeof </item>
32 <item> const </item>
33 <item> default </item>
34 </list>
35 <contexts>
36 <context attribute="0" lineEndContext="#stay" name="Normal">
37 <Float attribute="2" context="0">
38 <AnyChar String="fF" attribute="2" context="0" />
39 </Float>
40 <Int attribute="2" context="#stay" />
41 <keyword attribute="1" context="0" String="keywords" />
42 <DetectChar attribute="3" context="1" char="&quot;" />
43 <DetectChar attribute="3" context="2" char="'" />
44 <Detect2Chars attribute="5" context="4" char="/" char1="/" />
45 <Detect2Chars attribute="5" context="5" char="/" char1="*" />
46 <RegExpr attribute="0" context="7" String="\s*[=?:]\s*" />
47 <RegExpr attribute="0" context="7" String="(search|replace)\s*\(\s*" />
48 </context>
49 <context attribute="3" lineEndContext="#stay" name="String">
50 <Detect2Chars attribute="3" context="#stay" char="\" char1="&quot;" />
51 <HlCStringChar attribute="4" context="#stay" />
52 <DetectChar attribute="3" context="#pop" char="&quot;" />
53 </context>
54 <context attribute="3" lineEndContext="#stay" name="String (2)">
55 <Detect2Chars attribute="3" context="#stay" char="\" char1="'" />
56 <HlCStringChar attribute="4" context="#stay" />
57 <DetectChar attribute="3" context="#pop" char="'" />
58 </context>
59 <context attribute="0" lineEndContext="0" name="Comment common">
60 <RegExpr attribute="6" context= "#stay" String="\b(?:fixme|todo|note)\b" insensitive="TRUE" />
61 </context>
62 <context attribute="5" lineEndContext="#pop" name="Single Line Comment">
63 <IncludeRules context="3" />
64 </context>
65 <context attribute="5" lineEndContext="#stay" name="Multiline/Inline Comment">
66 <Detect2Chars attribute="5" context="#pop" char="*" char1="/" />
67 <IncludeRules context="3" />
68 </context>
69 <context attribute="7" lineEndContext="#stay" name="Regular Expression">
70 <RegExpr attribute="7" context="#pop#pop#pop" String="/[ig]{0,2}" />
71 <RegExpr attribute="8" context="#stay" String="\{[\d, ]+\}" />
72 <RegExpr attribute="8" context="#stay" String="\\[bB]" />
73 <RegExpr attribute="9" context="#stay" String="\\[nrtvfDdSsWw]" />
74 <RegExpr attribute="7" context="#stay" String="\\." />
75 <DetectChar attribute="9" context="10" char="[" />
76 <RegExpr attribute="8" context="#stay" String="\$(?=/)" />
77 <AnyChar attribute="8" context="#stay" String="?+*()|" />
78 </context>
79 <context attribute="0" lineEndContext="#stay" name="(Internal regex catch)" fallthrough="true" fallthroughContext="#pop">
80 <RegExpr attribute="0" context="#stay" String="\s*" />
81 <RegExpr attribute="7" context="#pop" String="//(?=;)" />
82 <Detect2Chars attribute="5" context="4" char="/" char1="/" />
83 <Detect2Chars attribute="5" context="5" char="/" char1="*" />
84 <DetectChar attribute="7" context="9" char="/" />
85 </context>
86 <context attribute="9" lineEndcontext="#stay" name="Regular Expression Character Class">
87 <RegExpr attribute="9" context="#stay" String="\\[\[\]]" />
88 <DetectChar attribute="9" context="#pop#pop" char="]" />
89 </context>
90 <context attribute="8" lineEndContext="#pop" name="(regex caret first check)" fallthrough="true" fallthroughContext="6">
91 <DetectChar attribute="8" context="6" char="^" />
92 </context>
93 <context attribute="8" lineEndContext="#pop" name="(charclass caret first check)" fallthrough="true" fallthroughContext="8">
94 <DetectChar attribute="8" context="8" char="^" />
95 </context>
96 </contexts>
97 <itemDatas>
98 <itemData name="Normal Text" defStyleNum="dsNormal" />
99 <itemData name="Keyword" defStyleNum="dsKeyword" />
100 <itemData name="Number" defStyleNum="dsDecVal" />
101 <itemData name="String" defStyleNum="dsString" />
102 <itemData name="String Char" defStyleNum="dsChar" />
103 <itemData name="Comment" defStyleNum="dsComment" />
104 <itemData name="Note" defStyleNum="dsDecVal" />
105 <itemData name="Regular Expression" defStyleNum="dsOthers" />
106 <itemData name="Pattern Internal Operator" defStyleNum="dsFloat" />
107 <itemData name="Pattern Character Class" defStyleNum="dsBaseN" />
108 </itemDatas>
109 </highlighting>
110 <general>
111 <comments>
112 <comment name="singleLine" start="//" />
113 <comment name="multiLine" start="/*" end="*/" />
114 </comments>
115 <keywords casesensitive="1" />
116 </general>
117</language>
diff --git a/share/tinykate/syntax/kbasic.xml b/share/tinykate/syntax/kbasic.xml
new file mode 100644
index 0000000..1a57dc7
--- a/dev/null
+++ b/share/tinykate/syntax/kbasic.xml
@@ -0,0 +1,97 @@
1<?xml version="1.0" encoding="UTF-8"?>
2<!DOCTYPE language SYSTEM "language.dtd">
3<language name="KBasic" version="1.00" kateversion="2.0" section="Sources" extensions="*.kbasic" mimetype="text/x-kbasic-src">
4 <highlighting>
5 <list name="keywords">
6 <item> For </item>
7 <item> Next</item>
8 <item> Do </item>
9 <item> Loop </item>
10 <item> While </item>
11 <item> Wend </item>
12 <item> Until </item>
13 <item> If </item>
14 <item> Else </item>
15 <item> End </item>
16 <item> Function </item>
17 <item> Goto </item>
18 <item> Sub </item>
19 <item> Implements </item>
20 <item> In </item>
21 <item> Sub </item>
22 <item> Private </item>
23 <item> Public </item>
24 <item> Global </item>
25 <item> As </item>
26 <item> Dim </item>
27 <item> Set </item>
28 <item> Let </item>
29 <item> Get </item>
30 <item> To </item>
31 <item> Property </item>
32 <item> True </item>
33 <item> False </item>
34 <item> Or </item>
35 <item> Not </item>
36 <item> Xor </item>
37 <item> And </item>
38 <item> Then </item>
39 <item> Exit </item>
40 <item> Put </item>
41 <item> Open </item>
42 <item> Close </item>
43 <item> Seek </item>
44 <item> Print </item>
45 <item> Input</item>
46 <item> Output </item>
47 <item> Repeat </item>
48 <item> Load </item>
49 <item> Unload </item>
50 <item> Declare </item>
51 <item> Option </item>
52 <item> Explicit </item>
53 </list>
54 <list name="types">
55 <item>Integer </item>
56 <item>Long </item>
57 <item>Byte </item>
58 <item>Boolean </item>
59 <item>Variant </item>
60 <item>Single </item>
61 <item>Double </item>
62 <item>Currency </item>
63 <item>String </item>
64 <item>Object </item>
65 <item>Control </item>
66 </list>
67 <contexts>
68 <context attribute="0" lineEndContext="0">
69 <keyword attribute="1" context="0" String="keywords"/>
70 <keyword attribute="2" context="0" String="types"/>
71 <Float attribute="4" context="0"/>
72 <Int attribute="3" context="0"/>
73 <DetectChar attribute="4" context="2" char="quot;"/>
74 <DetectChar attribute="5" context="1" char="'"/>
75 </context>
76 <context attribute="5" lineEndContext="0"/>
77 <context attribute="4" lineEndContext="0">
78 <DetectChar attribute="4" context="0" char="'"/>
79 </context>
80 </contexts>
81 <itemDatas>
82 <itemData name="Normal Text" defStyleNum="dsNormal"/>
83 <itemData name="Keyword" defStyleNum="dsKeyword"/>
84 <itemData name="Identifier" defStyleNum="dsOthers"/>
85 <itemData name="Types" defStyleNum="dsDataType"/>
86 <itemData name="String" defStyleNum="dsString"/>
87 <itemData name="Comment" defStyleNum="dsComment"/>
88 </itemDatas>
89 </highlighting>
90 <general>
91 <comments>
92 <comment name="singleLine" start="//" />
93 <comment name="multiLine" start="/*" end="*/" />
94 </comments>
95 <keywords casesensitive="1" />
96 </general>
97</language>
diff --git a/share/tinykate/syntax/latex.xml b/share/tinykate/syntax/latex.xml
new file mode 100644
index 0000000..8ec9870
--- a/dev/null
+++ b/share/tinykate/syntax/latex.xml
@@ -0,0 +1,334 @@
1<?xml version="1.01" encoding="UTF-8"?>
2<!DOCTYPE language SYSTEM "language.dtd">
3<language name="LaTeX" version="1.00" kateversion="2.0" section="Markup" extensions="*.tex;*.TEX;*.bib;*.BIB;*.ltx;*.LTX;*.sty;*.STY;*.cls;*.CLS" mimetype="text/x-tex" casesensitive="1">
4 <highlighting>
5 <list name="keywords">
6 <item> begin</item>
7 <item> itemize</item>
8 <item>abovedisplayskip</item>
9 <item>abovedisplayshortskip</item>
10 <item>abstract</item>
11 <item>acute</item>
12 <item>addcontentsline</item>
13 <item>address</item>
14 <item>addtocontents</item>
15 <item>addtocounter</item>
16 <item>addtolength</item>
17 <item>addvspace</item>
18 <item>Alph</item>
19 <item>alph</item>
20 <item>and</item>
21 <item>appendix</item>
22 <item>arabic</item>
23 <item>array</item>
24 <item>arraycolsep</item>
25 <item>arrayrulewidth</item>
26 <item>arraystretch</item>
27 <item>author</item>
28 <item>bar</item>
29 <item>baselineskip</item>
30 <item>baselinestretch</item>
31 <item>begin</item>
32 <item>belowdisplayskip</item>
33 <item>belowdisplayshortskip</item>
34 <item>bf</item>
35 <item>bibitem</item>
36 <item>bigskip</item>
37 <item>bigskipamount</item>
38 <item>boldmath</item>
39 <item>bottomfraction</item>
40 <item>bottomnumber</item>
41 <item>breve</item>
42 <item>cal</item>
43 <item>caption</item>
44 <item>cc</item>
45 <item>center</item>
46 <item>centering</item>
47 <item>centerline</item>
48 <item>chapter</item>
49 <item>check</item>
50 <item>circle</item>
51 <item>cite</item>
52 <item>cleardoublepage</item>
53 <item>clearpage</item>
54 <item>cline</item>
55 <item>closing</item>
56 <item>columnsep</item>
57 <item>columnseprule</item>
58 <item>dashbox</item>
59 <item>date</item>
60 <item>dblfloatpagefraction</item>
61 <item>dblfloatsep</item>
62 <item>dbltextfloatsep</item>
63 <item>dbltopfraction</item>
64 <item>dbltopnumber</item>
65 <item>ddot</item>
66 <item>description</item>
67 <item>discretionary</item>
68 <item>displaymath</item>
69 <item>displaystyle</item>
70 <item>document</item>
71 <item>documentclass</item>
72 <item>documentstyle</item>
73 <item>dot</item>
74 <item>dotfill</item>
75 <item>doublerulesep</item>
76 <item>em</item>
77 <item>emph</item>
78 <item>encl</item>
79 <item>end</item>
80 <item>enumerate</item>
81 <item>eqnarray</item>
82 <item>equation</item>
83 <item>evensidemargin</item>
84 <item>extracolsep</item>
85 <item>fbox</item>
86 <item>fboxrule</item>
87 <item>fboxsep</item>
88 <item>figure</item>
89 <item>fill</item>
90 <item>floatpagefraction</item>
91 <item>floatsep</item>
92 <item>flushbottom</item>
93 <item>flushleft</item>
94 <item>flushright</item>
95 <item>fnsymbol</item>
96 <item>footheight</item>
97 <item>footnote</item>
98 <item>footnotemark</item>
99 <item>footnotesep</item>
100 <item>footnotesize</item>
101 <item>footnotetext</item>
102 <item>footskip</item>
103 <item>frac</item>
104 <item>frame</item>
105 <item>framebox</item>
106 <item>frenchspacing</item>
107 <item>fussy</item>
108 <item>fussypar</item>
109 <item>grave</item>
110 <item>hat</item>
111 <item>headheight</item>
112 <item>headsep</item>
113 <item>hfill</item>
114 <item>hline</item>
115 <item>hoffset</item>
116 <item>hrulefill</item>
117 <item>hspace</item>
118 <item>Huge</item>
119 <item>huge</item>
120 <item>imath</item>
121 <item>include</item>
122 <item>includeonly</item>
123 <item>indent</item>
124 <item>input</item>
125 <item>intextsep</item>
126 <item>it</item>
127 <item>item</item>
128 <item>itemize</item>
129 <item>itemsep</item>
130 <item>jmath</item>
131 <item>jot</item>
132 <item>kill</item>
133 <item>label</item>
134 <item>LARGE</item>
135 <item>Large</item>
136 <item>large</item>
137 <item>LaTeX</item>
138 <item>LaTeXe</item>
139 <item>letter</item>
140 <item>line</item>
141 <item>linebreak</item>
142 <item>linethickness</item>
143 <item>listoffigures</item>
144 <item>listoftables</item>
145 <item>makebox</item>
146 <item>maketitle</item>
147 <item>marginpar</item>
148 <item>marginparpush</item>
149 <item>marginparsep</item>
150 <item>marginparwidth</item>
151 <item>markboth</item>
152 <item>markright</item>
153 <item>math</item>
154 <item>mathindent</item>
155 <item>mbox</item>
156 <item>medskip</item>
157 <item>medskipamount</item>
158 <item>minipage</item>
159 <item>multicolumn</item>
160 <item>multiput</item>
161 <item>name</item>
162 <item>newcommand</item>
163 <item>newcounter</item>
164 <item>newenvironment</item>
165 <item>newfont</item>
166 <item>newlength</item>
167 <item>newline</item>
168 <item>newpage</item>
169 <item>newsavebox</item>
170 <item>newtheorem</item>
171 <item>noindent</item>
172 <item>nolinebreak</item>
173 <item>nonfrenchspacing</item>
174 <item>nonumber</item>
175 <item>nopagebreak</item>
176 <item>normalmarginpar</item>
177 <item>normalsize</item>
178 <item>numberline</item>
179 <item>oddsidemargin</item>
180 <item>onecolumn</item>
181 <item>opening</item>
182 <item>oval</item>
183 <item>overbrace</item>
184 <item>overline</item>
185 <item>pagebreak</item>
186 <item>pagenumbering</item>
187 <item>pageref</item>
188 <item>pagestyle</item>
189 <item>par</item>
190 <item>paragraph</item>
191 <item>parbox</item>
192 <item>parindent</item>
193 <item>parsep</item>
194 <item>parskip</item>
195 <item>part</item>
196 <item>picture</item>
197 <item>poptabs</item>
198 <item>protect</item>
199 <item>ps</item>
200 <item>pushtabs</item>
201 <item>put</item>
202 <item>quotation</item>
203 <item>quote</item>
204 <item>raggedbottom</item>
205 <item>raggedleft</item>
206 <item>raggedright</item>
207 <item>raisebox</item>
208 <item>ref</item>
209 <item>refstepcounter</item>
210 <item>renewcommand</item>
211 <item>renewenvironment</item>
212 <item>reversemarginpar</item>
213 <item>rm</item>
214 <item>Roman</item>
215 <item>roman</item>
216 <item>rule</item>
217 <item>samepage(Befehl)</item>
218 <item>samepage(Umgebung)</item>
219 <item>savebox</item>
220 <item>sbox</item>
221 <item>sc</item>
222 <item>scriptscriptstyle</item>
223 <item>scriptsize</item>
224 <item>scriptstyle</item>
225 <item>section</item>
226 <item>setcounter</item>
227 <item>setlanguage</item>
228 <item>setlength</item>
229 <item>settowidth</item>
230 <item>sf</item>
231 <item>shortstack</item>
232 <item>signature</item>
233 <item>sl</item>
234 <item>sloppy</item>
235 <item>sloppypar</item>
236 <item>small</item>
237 <item>smallskip</item>
238 <item>smallskipamount</item>
239 <item>sqrt</item>
240 <item>stackrel</item>
241 <item>stepcounter</item>
242 <item>subparagraph</item>
243 <item>subsection</item>
244 <item>subsubsection</item>
245 <item>symbol</item>
246 <item>tabbing</item>
247 <item>tabbingsep</item>
248 <item>tabcolsep</item>
249 <item>table</item>
250 <item>tableofcontents</item>
251 <item>tabular</item>
252 <item>TeX</item>
253 <item>textbf</item>
254 <item>textit</item>
255 <item>textfraction</item>
256 <item>textfloatsep</item>
257 <item>textheight</item>
258 <item>textmd</item>
259 <item>textrm</item>
260 <item>textsc</item>
261 <item>textsf</item>
262 <item>textsl</item>
263 <item>textstyle</item>
264 <item>texttt</item>
265 <item>textup</item>
266 <item>textwidth</item>
267 <item>thanks</item>
268 <item>thebibliography</item>
269 <item>thicklines</item>
270 <item>thinlines</item>
271 <item>thispagestyle</item>
272 <item>tilde</item>
273 <item>tiny</item>
274 <item>title</item>
275 <item>titlepage</item>
276 <item>today</item>
277 <item>topfraction</item>
278 <item>topmargin</item>
279 <item>topnumber</item>
280 <item>topsep</item>
281 <item>topskip</item>
282 <item>totalnumber</item>
283 <item>tt</item>
284 <item>twocolumn</item>
285 <item>typein</item>
286 <item>typeout</item>
287 <item>unboldmath</item>
288 <item>underbrace</item>
289 <item>underline</item>
290 <item>unitlength</item>
291 <item>usebox</item>
292 <item>usepackage</item>
293 <item>value</item>
294 <item>vec</item>
295 <item>vector</item>
296 <item>verb</item>
297 <item>verbatim</item>
298 <item>verse</item>
299 <item>vfill</item>
300 <item>vline</item>
301 <item>voffset</item>
302 <item>vspace</item>
303 <item>widehat</item>
304 <item>widetilde</item>
305 </list>
306 <contexts>
307 <context attribute="0" lineEndContext="0">
308 <StringDetect String="\begin{" attribute="4" context="1" insensitive="FALSE"/>
309 <StringDetect String="\end{" attribute="4" context="1" insensitive="FALSE"/>
310 <DetectChar char="\" attribute="2" context="2" />
311 <RegExpr String="%.*$" attribute="3" context="0"/>
312 </context>
313 <context attribute="0" lineEndContext="1">
314 <keyword String="keywords" attribute="1" context="1"/>
315 <DetectChar char="}" attribute="4" context="0"/>
316 </context>
317 <context attribute="0" lineEndContext="0">
318 <keyword String="keywords" attribute="2" context="0" />
319 </context>
320 </contexts>
321 <itemDatas>
322 <itemData name="Normal Text" defStyleNum="dsNormal"/>
323 <itemData name="Keyword" defStyleNum="dsNormal" color="#0000ff" selColor="#ff0000" bold="1" italic="1"/>
324 <itemData name="Comment" defStyleNum="dsComment"/>
325 <itemData name="Begin End" defStyleNum="dsNormal" color="#000000" selColor="#ffffff" bold="1" italic="1"/>
326
327 </itemDatas>
328 </highlighting>
329 <general>
330 <comments>
331 <comment name="singleLine" start="%" />
332 </comments>
333 </general>
334</language>
diff --git a/share/tinykate/syntax/literate-haskell.xml b/share/tinykate/syntax/literate-haskell.xml
new file mode 100644
index 0000000..14b36be
--- a/dev/null
+++ b/share/tinykate/syntax/literate-haskell.xml
@@ -0,0 +1,387 @@
1<?xml version="1.0" encoding="UTF-8"?>
2<!DOCTYPE language SYSTEM "language.dtd">
3<!-- literate Haskell syntax highlighting by Marcel Martin <mmar@freenet.de> -->
4<language name="Literate Haskell" version="1.00" kateversion="2.0" section="Sources" extensions="*.lhs">
5 <highlighting>
6 <list name="keywords">
7 <item> case </item>
8 <item> class </item>
9 <item> data </item>
10 <item> deriving </item>
11 <item> do </item>
12 <item> else </item>
13 <item> if </item>
14 <item> in </item>
15 <item> infixl </item>
16 <item> infixr </item>
17 <item> instance </item>
18 <item> let </item>
19 <item> module </item>
20 <item> of </item>
21 <item> primitive </item>
22 <item> then </item>
23 <item> type </item>
24 <item> where </item>
25 </list>
26 <list name="infix operators">
27 <item> quot </item>
28 <item> rem </item>
29 <item> div </item>
30 <item> mod </item>
31 <item> elem </item>
32 <item> notElem </item>
33 <item> seq </item>
34 </list>
35 <list name="functions">
36 <!--
37 These operators are not handled yet.
38 <item> !! </item>
39 <item> % </item>
40 <item> && </item>
41 <item> $! </item>
42 <item> $ </item>
43 <item> * </item>
44 <item> ** </item>
45 <item> - </item>
46 <item> . </item>
47 <item> /= </item>
48 <item> < </item>
49 <item> <= </item>
50 <item> =<< </item>
51 <item> == </item>
52 <item> > </item>
53 <item> >= </item>
54 <item> >> </item>
55 <item> >>= </item>
56 <item> ^ </item>
57 <item> ^^ </item>
58 <item> ++ </item>
59 <item> || </item>
60 //-->
61
62 <item> FilePath </item>
63 <item> IOError </item>
64 <item> abs </item>
65 <item> acos </item>
66 <item> acosh </item>
67 <item> all </item>
68 <item> and </item>
69 <item> any </item>
70 <item> appendFile </item>
71 <item> approxRational </item>
72 <item> asTypeOf </item>
73 <item> asin </item>
74 <item> asinh </item>
75 <item> atan </item>
76 <item> atan2 </item>
77 <item> atanh </item>
78 <item> basicIORun </item>
79 <item> break </item>
80 <item> catch </item>
81 <item> ceiling </item>
82 <item> chr </item>
83 <item> compare </item>
84 <item> concat </item>
85 <item> concatMap </item>
86 <item> const </item>
87 <item> cos </item>
88 <item> cosh </item>
89 <item> curry </item>
90 <item> cycle </item>
91 <item> decodeFloat </item>
92 <item> denominator </item>
93 <item> digitToInt </item>
94 <item> div </item>
95 <item> divMod </item>
96 <item> drop </item>
97 <item> dropWhile </item>
98 <item> either </item>
99 <item> elem </item>
100 <item> encodeFloat </item>
101 <item> enumFrom </item>
102 <item> enumFromThen </item>
103 <item> enumFromThenTo </item>
104 <item> enumFromTo </item>
105 <item> error </item>
106 <item> even </item>
107 <item> exp </item>
108 <item> exponent </item>
109 <item> fail </item>
110 <item> filter </item>
111 <item> flip </item>
112 <item> floatDigits </item>
113 <item> floatRadix </item>
114 <item> floatRange </item>
115 <item> floor </item>
116 <item> fmap </item>
117 <item> foldl </item>
118 <item> foldl1 </item>
119 <item> foldr </item>
120 <item> foldr1 </item>
121 <item> fromDouble </item>
122 <item> fromEnum </item>
123 <item> fromInt </item>
124 <item> fromInteger </item>
125 <item> fromIntegral </item>
126 <item> fromRational </item>
127 <item> fst </item>
128 <item> gcd </item>
129 <item> getChar </item>
130 <item> getContents </item>
131 <item> getLine </item>
132 <item> head </item>
133 <item> id </item>
134 <item> inRange </item>
135 <item> index </item>
136 <item> init </item>
137 <item> intToDigit </item>
138 <item> interact </item>
139 <item> ioError </item>
140 <item> isAlpha </item>
141 <item> isAlphaNum </item>
142 <item> isAscii </item>
143 <item> isControl </item>
144 <item> isDenormalized </item>
145 <item> isDigit </item>
146 <item> isHexDigit </item>
147 <item> isIEEE </item>
148 <item> isInfinite </item>
149 <item> isLower </item>
150 <item> isNaN </item>
151 <item> isNegativeZero </item>
152 <item> isOctDigit </item>
153 <item> isPrint </item>
154 <item> isSpace </item>
155 <item> isUpper </item>
156 <item> iterate </item>
157 <item> last </item>
158 <item> lcm </item>
159 <item> length </item>
160 <item> lex </item>
161 <item> lexDigits </item>
162 <item> lexLitChar </item>
163 <item> lines </item>
164 <item> log </item>
165 <item> logBase </item>
166 <item> lookup </item>
167 <item> map </item>
168 <item> mapM </item>
169 <item> mapM_ </item>
170 <item> max </item>
171 <item> maxBound </item>
172 <item> maximum </item>
173 <item> maybe </item>
174 <item> min </item>
175 <item> minBound </item>
176 <item> minimum </item>
177 <item> mod </item>
178 <item> negate </item>
179 <item> not </item>
180 <item> notElem </item>
181 <item> null </item>
182 <item> numerator </item>
183 <item> odd </item>
184 <item> or </item>
185 <item> ord </item>
186 <item> otherwise </item>
187 <item> pi </item>
188 <item> pred </item>
189 <item> primExitWith </item>
190 <item> print </item>
191 <item> product </item>
192 <item> properFraction </item>
193 <item> putChar </item>
194 <item> putStr </item>
195 <item> putStrLn </item>
196 <item> quot </item>
197 <item> quotRem </item>
198 <item> range </item>
199 <item> rangeSize </item>
200 <item> read </item>
201 <item> readDec </item>
202 <item> readFile </item>
203 <item> readFloat </item>
204 <item> readHex </item>
205 <item> readIO </item>
206 <item> readInt </item>
207 <item> readList </item>
208 <item> readLitChar </item>
209 <item> readLn </item>
210 <item> readOct </item>
211 <item> readParen </item>
212 <item> readSigned </item>
213 <item> reads </item>
214 <item> readsPrec </item>
215 <item> realToFrac </item>
216 <item> recip </item>
217 <item> rem </item>
218 <item> repeat </item>
219 <item> replicate </item>
220 <item> return </item>
221 <item> reverse </item>
222 <item> round </item>
223 <item> scaleFloat </item>
224 <item> scanl </item>
225 <item> scanl1 </item>
226 <item> scanr </item>
227 <item> scanr1 </item>
228 <item> seq </item>
229 <item> sequence </item>
230 <item> sequence_ </item>
231 <item> show </item>
232 <item> showChar </item>
233 <item> showInt </item>
234 <item> showList </item>
235 <item> showLitChar </item>
236 <item> showParen </item>
237 <item> showSigned </item>
238 <item> showString </item>
239 <item> shows </item>
240 <item> showsPrec </item>
241 <item> significand </item>
242 <item> signum </item>
243 <item> sin </item>
244 <item> sinh </item>
245 <item> snd </item>
246 <item> span </item>
247 <item> splitAt </item>
248 <item> sqrt </item>
249 <item> subtract </item>
250 <item> succ </item>
251 <item> sum </item>
252 <item> tail </item>
253 <item> take </item>
254 <item> takeWhile </item>
255 <item> tan </item>
256 <item> tanh </item>
257 <item> threadToIOResult </item>
258 <item> toEnum </item>
259 <item> toInt </item>
260 <item> toInteger </item>
261 <item> toLower </item>
262 <item> toRational </item>
263 <item> toUpper </item>
264 <item> truncate </item>
265 <item> uncurry </item>
266 <item> undefined </item>
267 <item> unlines </item>
268 <item> until </item>
269 <item> unwords </item>
270 <item> unzip </item>
271 <item> unzip3 </item>
272 <item> userError </item>
273 <item> words </item>
274 <item> writeFile </item>
275 <item> zip </item>
276 <item> zip3 </item>
277 <item> zipWith </item>
278 <item> zipWith3 </item>
279 </list>
280 <list name="type constructors">
281 <item> Bool </item>
282 <item> Char </item>
283 <item> Double </item>
284 <item> Either </item>
285 <item> Float </item>
286 <item> IO </item>
287 <item> Integer </item>
288 <item> Int </item>
289 <item> Maybe </item>
290 <item> Ordering </item>
291 <item> Rational </item>
292 <item> Ratio </item>
293 <item> ReadS </item>
294 <item> ShowS </item>
295 <item> String </item>
296
297 </list>
298 <list name="classes">
299 <item> Bounded </item>
300 <item> Enum </item>
301 <item> Eq </item>
302 <item> Floating </item>
303 <item> Fractional </item>
304 <item> Functor </item>
305 <item> Integral </item>
306 <item> Ix </item>
307 <item> Monad </item>
308 <item> Num </item>
309 <item> Ord </item>
310 <item> Read </item>
311 <item> RealFloat </item>
312 <item> RealFrac </item>
313 <item> Real </item>
314 <item> Show </item>
315 </list>
316 <list name="data constructors">
317 <item> EQ </item>
318 <item> False </item>
319 <item> GT </item>
320 <item> Just </item>
321 <item> LT </item>
322 <item> Left </item>
323 <item> Nothing </item>
324 <item> Right </item>
325 <item> True </item>
326 </list>
327 <contexts>
328 <context attribute="Comment" lineEndContext="#stay" name="literate-normal">
329 <RegExpr attribute="Special" context="normal" String="^&gt;\s*" />
330 </context>
331 <context attribute="Normal Text" lineEndContext="literate-normal" name="normal">
332 <Detect2Chars attribute="Comment" context="comment_multi_line" char="{" char1="-" />
333 <Detect2Chars attribute="Comment" context="comment_single_line" char="-" char1="-" />
334 <keyword attribute="Keyword" context="#stay" String="keywords" />
335 <keyword attribute="Class" context="#stay" String="classes" />
336 <keyword attribute="Type Constructor" context="#stay" String="type constructors" />
337 <keyword attribute="Function" context="#stay" String="functions" />
338 <keyword attribute="Data Constructor" context="#stay" String="data constructors" />
339 <DetectChar attribute="String" context="string" char="&quot;" />
340 <DetectChar attribute="Infix Operator" context="infix" char="`"/>
341 <RegExpr attribute="Normal Text" context="#stay" String="[A-Za-z0-9_][']+" />
342 <DetectChar attribute="Char" context="single_char" char="'" />
343 <RegExpr attribute="Function Definition" context="#stay" String="\s*[a-z_]+[A-Za-z_0-9]*'*\s*::" />
344 <Float attribute="Float" context="#stay" />
345 <Int attribute="Decimal" context="#stay" />
346 </context>
347 <context attribute="Comment" lineEndContext="#pop" name="comment_single_line" />
348 <context attribute="Comment" lineEndContext="#stay" name="comment_multi_line">
349 <Detect2Chars attribute="Comment" context="#pop" char="-" char1="}" />
350 </context>
351 <context attribute="String" lineEndContext="#stay" name="string">
352 <RegExpr attribute="String" context="#stay" String="\\." />
353 <DetectChar attribute="String" context="#pop" char="&quot;" />
354 </context>
355 <context attribute="Infix Operator" lineEndContext="#stay" name="infix">
356 <DetectChar attribute="Infix Operator" context="#pop" char="`"/>
357 </context>
358 <context attribute="Char" lineEndContext="#pop" name="single_char">
359 <RegExpr attribute="Char" context="#stay" String="\\." />
360 <DetectChar attribute="Char" context="#pop" char="'" />
361 </context>
362 <context attribute="Function Definition" lineEndContext="#pop" name="function_definition">
363 <DetectChar attribute="Function Definition" context="#pop" char=";" />
364 </context>
365 </contexts>
366 <itemDatas>
367 <itemData name="Normal Text" defStyleNum="dsNormal"/>
368 <itemData name="Keyword" defStyleNum="dsKeyword"/>
369 <itemData name="Function" defStyleNum="dsOthers"/>
370 <itemData name="Function Definition"defStyleNum="dsOthers"/>
371 <itemData name="Class" defStyleNum="dsKeyword"/>
372 <itemData name="Decimal" defStyleNum="dsDecVal"/>
373 <itemData name="Float" defStyleNum="dsFloat"/>
374 <itemData name="Char" defStyleNum="dsChar"/>
375 <itemData name="String" defStyleNum="dsString"/>
376 <itemData name="Constructor" defStyleNum="dsOthers"/>
377 <itemData name="Comment" defStyleNum="dsComment"/>
378 <itemData name="Data Constructor"defStyleNum="dsKeyword"/>
379 <itemData name="Type Constructor"defStyleNum="dsDataType"/>
380 <itemData name="Infix Operator" defStyleNum="dsOthers"/>
381 <itemData name="Special" defStyleNum="dsChar"/>
382 </itemDatas>
383 </highlighting>
384 <general>
385 <keywords casesensitive="1" />
386 </general>
387</language>
diff --git a/share/tinykate/syntax/mab.xml b/share/tinykate/syntax/mab.xml
new file mode 100644
index 0000000..2901dd8
--- a/dev/null
+++ b/share/tinykate/syntax/mab.xml
@@ -0,0 +1,32 @@
1<?xml version="1.0" encoding="UTF-8"?>
2<!DOCTYPE language SYSTEM "language.dtd">
3<language name="MAB-DB" version="1.00" kateversion="2.0" extensions="*.mab;*.MAB;*.Mab" section="Markup" mimetype="text/x-mab" casesensitive="0">
4 <highlighting>
5 <contexts>
6 <context attribute="0" name="Section" lineEndContext="0" >
7 <RegExpr String="^\*I [a-zA-Z01-9]* " attribute="MabI" />
8 <RegExpr String="^\*\*\*\**E.*" attribute="HeaderExemplar" />
9 <RegExpr String="^\*\*\*\**M.*" attribute="HeaderMedium" />
10 <RegExpr String="^\*\*\*\* BIBLIOTHECA.*" attribute="HeaderDb" />
11 <RegExpr String="^\*M [a-zA-Z01-9]* " attribute="MabM" />
12 <RegExpr String="^\*X TYP .*" attribute="MabCommentDescription" />
13 <RegExpr String="^\*X DESC .*" attribute="MabCommentType" />
14 <RegExpr String="^\*X .*" attribute="MabComment" />
15 </context>
16 <context attribute="1" name="Mab">
17 </context>
18 </contexts>
19
20 <itemDatas>
21 <itemData name="Normal Text" defStyleNum="dsNormal" color="#FF0022"selColor="#ffffff" italic="0" bold="1" />
22 <itemData name="HeaderExemplar" defStyleNum="dsKeyword" color="#993322" selColor="#ffffff" italic="0" bold="1" />
23 <itemData name="HeaderMedium" defStyleNum="dsKeyword" color="#339922" selColor="#ffffff" italic="0" bold="1" />
24 <itemData name="HeaderDb" defStyleNum="dsKeyword" color="#FF3322" selColor="#ffffff" italic="0" bold="1" />
25 <itemData name="MabM" defStyleNum="dsKeyword" color="#003366" selColor="#ffffff" italic="0" bold="1" />
26 <itemData name="MabI" defStyleNum="dsKeyword" color="#330066" selColor="#ffffff" italic="0" bold="1" />
27 <itemData name="MabCommentDescription" defStyleNum="dsKeyword" color="#3333FF" selColor="#ffffff" italic="1" bold="1" />
28 <itemData name="MabCommentType" defStyleNum="dsKeyword" color="#BB3333" selColor="#ffffff" italic="1" bold="1" />
29 <itemData name="MabComment" defStyleNum="dsKeyword" color="#999999" selColor="#ffffff" italic="1" bold="1" />
30 </itemDatas>
31 </highlighting>
32</language>
diff --git a/share/tinykate/syntax/makefile.xml b/share/tinykate/syntax/makefile.xml
new file mode 100644
index 0000000..2abeca7
--- a/dev/null
+++ b/share/tinykate/syntax/makefile.xml
@@ -0,0 +1,81 @@
1<?xml version="1.0" encoding="UTF-8"?>
2<!DOCTYPE language SYSTEM "language.dtd">
3<!-- Makefile syntaxfile v0.9 by Per Wigren <wigren@home.se> -->
4<language name="Makefile" section="Other" extensions="" mimetype="text/x-makefile">
5 <highlighting>
6 <list name = "keywords">
7 <item> include </item>
8 <item> define </item>
9 <item> else </item>
10 <item> endef </item>
11 <item> endif </item>
12 <item> ifdef </item>
13 <item> ifeq </item>
14 <item> ifndef </item>
15 <item> ifneq </item>
16 </list>
17
18 <contexts>
19 <context name="Normal" attribute="Normal Text" lineEndContext="0">
20 <HlCStringChar attribute="Normal Text" lineEndContext="0"/>
21 <keyword String="keywords" attribute="Keyword" context="0"/>
22 <RegExpr String="#.*$" attribute="Comment" context="0"/>
23 <RegExpr String="(^|;)\s*\S+\s*=" attribute="Variable" context="1"/>
24 <RegExpr String="^.*:" attribute="Section" context="0"/>
25 <RegExpr attribute="Operator" String="\$\(" context="4"/>
26 <RegExpr attribute="Operator" String="\$\{" context="5"/>
27 <RegExpr String="[+*/=%$():;\\]" attribute="Operator" context="0"/>
28 </context>
29
30 <context name="Value" attribute="String" lineEndContext="1">
31 <HlCStringChar attribute="String Char" context="1"/>
32 <RegExpr attribute="Operator" String="\\$" context="1"/>
33 <RegExpr attribute="String" String="[^\\]?$" context="0"/>
34 <RegExpr attribute="Operator" String="\$\(" context="2"/>
35 <RegExpr attribute="Operator" String="\$\{" context="3"/>
36 <RegExpr attribute="Operator" String=";" context="0"/>
37 </context>
38
39 <context name="ParanVarFromValue" attribute="Variable" lineEndContext="2">
40 <HlCStringChar attribute="Variable" context="2"/>
41 <RegExpr attribute="Operator" String="\)[^$]" context="1"/>
42 <RegExpr attribute="Operator" String="\)$" context="0"/>
43 </context>
44
45 <context name="BrackVarFromValue" attribute="Variable" lineEndContext="3">
46 <HlCStringChar attribute="Variable" context="3"/>
47 <RegExpr attribute="Operator" String="\}[^$]" context="1"/>
48 <RegExpr attribute="Operator" String="\}$" context="0"/>
49 </context>
50
51 <context name="ParanVarFromNormal" attribute="Variable" lineEndContext="4">
52 <HlCChar attribute="Variable" context="4"/>
53 <RegExpr attribute="Operator" String="\)" context="0"/>
54 </context>
55
56 <context name="BrackVarFromNormal" attribute="Variable" lineEndContext="5">
57 <HlCChar attribute="Variable" context="5"/>
58 <RegExpr attribute="Operator" String="\}" context="0"/>
59 </context>
60
61 </contexts>
62
63 <itemDatas>
64 <itemData name="Normal Text"defStyleNum="dsNormal"/>
65 <itemData name="Keyword" defStyleNum="dsKeyword"/>
66 <itemData name="Comment" defStyleNum="dsComment"/>
67 <itemData name="String" defStyleNum="dsString"/>
68 <itemData name="String Char"defStyleNum="dsChar"/>
69 <itemData name="Variable" defStyleNum="dsDataType"/>
70 <itemData name="Section" defStyleNum="dsOthers"/>
71 <itemData name="Operator" defStyleNum="dsChar"/>
72 </itemDatas>
73 </highlighting>
74
75 <general>
76 <comments>
77 <comment name = "singleLine" start = "#"/>
78 </comments>
79 <keywords casesensitive = "1" />
80 </general>
81</language>
diff --git a/share/tinykate/syntax/matlab.xml b/share/tinykate/syntax/matlab.xml
new file mode 100644
index 0000000..d8bdc51
--- a/dev/null
+++ b/share/tinykate/syntax/matlab.xml
@@ -0,0 +1,125 @@
1<?xml version="1.02" encoding="UTF-8"?>
2<!DOCTYPE language SYSTEM "language.dtd">
3<language name="Matlab M-File" version="1.00" kateversion="2.0" section="Sources" extensions="*.m;*.M" mimetype="text/mfile">
4 <highlighting>
5 <list name="keywords">
6 <item> break </item>
7 <item> end </item>
8 <item> case </item>
9 <item> continue </item>
10 <item> do </item>
11 <item> else </item>
12 <item> elseif </item>
13 <item> for </item>
14 <item> goto </item>
15 <item> if </item>
16 <item> return </item>
17 <item> struct </item>
18 <item> switch </item>
19 <item> while </item>
20 <item> function </item>
21 <item> otherwise </item>
22 <item> try </item>
23 <item> catch </item>
24 </list>
25 <list name="types">
26 <item> global </item>
27 <item> persistent </item>
28 <item> double </item>
29 </list>
30 <list name="commands">
31 <item> filter </item>
32 <item> disp </item>
33 <item> sprintf </item>
34 <item> fprintf </item>
35 <item> freqz </item>
36 <item> title </item>
37 <item> figure </item>
38 <item> set </item>
39 <item> get </item>
40 <item> fft </item>
41 <item> zplane </item>
42 <item> abs </item>
43 <item> real </item>
44 <item> imag </item>
45 <item> angle </item>
46 <item> waitforbuttonpress </item>
47 <item> stem </item>
48 <item> plot </item>
49 <item> subplot </item>
50 <item> scatter </item>
51 <item> stairs </item>
52 <item> impz </item>
53 <item> mesh </item>
54 <item> zoom </item>
55 <item> semilogx </item>
56 <item> semilogy </item>
57 <item> bar </item>
58 <item> grid </item>
59 <item> linspace </item>
60 <item> size </item>
61 <item> length </item>
62 <item> load </item>
63 <item> save </item>
64 <item> open </item>
65 <item> close </item>
66 </list>
67 <contexts>
68 <context attribute="0" lineEndContext="0">
69 <keyword attribute="1" context="0" String="keywords"/>
70 <keyword attribute="2" context="0" String="types"/>
71 <keyword attribute="11" context="0" String="commands"/>
72 <Float attribute="6" context="0">
73 <AnyChar String="fF" attribute="6" context="0"/>
74 </Float>
75 <HlCOct attribute="4" context="0"/>
76 <HlCHex attribute="5" context="0"/>
77 <Int attribute="3" context="0" >
78 <StringDetect attribute="3" context="0" String="ULL" insensitive="TRUE"/>
79 <StringDetect attribute="3" context="0" String="LUL" insensitive="TRUE"/>
80 <StringDetect attribute="3" context="0" String="LLU" insensitive="TRUE"/>
81 <StringDetect attribute="3" context="0" String="UL" insensitive="TRUE"/>
82 <StringDetect attribute="3" context="0" String="LU" insensitive="TRUE"/>
83 <StringDetect attribute="3" context="0" String="LL" insensitive="TRUE"/>
84 <StringDetect attribute="3" context="0" String="U" insensitive="TRUE"/>
85 <StringDetect attribute="3" context="0" String="L" insensitive="TRUE"/>
86 </Int>
87 <HlCChar attribute="7" context="0"/>
88 <DetectChar attribute="8" context="1" char="&apos;"/>
89 <DetectChar attribute="9" context="1" char="&apos;"/>
90 <Detect2Chars attribute="10" context="2" char="%" char1="%"/>
91 <Detect2Chars attribute="10" context="2" char="%" char1=" "/>
92 </context>
93 <context attribute="8" lineEndContext="0" name="String">
94 <LineContinue attribute="8" context="#stay"/>
95 <HlCStringChar attribute="9" context="1"/>
96 <DetectChar attribute="8" context="0" char="&apos;"/>
97 </context>
98 <context attribute="10" lineEndContext="0"/>
99 <context attribute="0" lineEndContext="1" />
100 <context attribute="0" lineEndContext="4" />
101 </contexts>
102 <itemDatas>
103 <itemData name="Normal Text" defStyleNum="dsNormal"/>
104 <itemData name="Keyword" defStyleNum="dsKeyword" color="#1414e4" selColor="#ffd60b"/>
105 <itemData name="Data Type" defStyleNum="dsDataType"/>
106 <itemData name="Decimal" defStyleNum="dsDecVal" color="#000000" selColor="#ffffff"/>
107 <itemData name="Octal" defStyleNum="dsBaseN"/>
108 <itemData name="Hex" defStyleNum="dsBaseN"/>
109 <itemData name="Float" defStyleNum="dsFloat"/>
110 <itemData name="Char" defStyleNum="dsChar"/>
111 <itemData name="String" defStyleNum="dsString" color="#ff0000" selColor="#ff0000"/>
112 <itemData name="String Char" defStyleNum="dsChar" color="#ff0000" selColor="#ff0000"/>
113 <itemData name="Comment" defStyleNum="dsComment" color="#2b7805" selColor="#945ca4"/>
114 <itemData name="Command" defStyleNum="dsCommand" color="#000000" selColor="#ffffff" bold="1"/>
115 </itemDatas>
116 </highlighting>
117 <general>
118 <comments>
119 <comment name="singleLine" start="% " />
120 <comment name="singleLine" start="%%" />
121 </comments>
122 <keywords casesensitive="1" />
123 </general>
124</language>
125<!-- Additional commands can easily be added in the above 'commands' section -->
diff --git a/share/tinykate/syntax/objectivec.xml b/share/tinykate/syntax/objectivec.xml
new file mode 100644
index 0000000..d86e75b
--- a/dev/null
+++ b/share/tinykate/syntax/objectivec.xml
@@ -0,0 +1,126 @@
1<?xml version="1.0" encoding="UTF-8"?>
2<!DOCTYPE language SYSTEM "language.dtd">
3<language name="Objective-C" version="1.00" kateversion="2.0" section="Sources" extensions="*.m;*.h" mimetype="text/x-objc-src;text/x-c-hdr">
4 <highlighting>
5 <list name="keywords">
6 <item> break </item>
7 <item> case </item>
8 <item> continue </item>
9 <item> default </item>
10 <item> do </item>
11 <item> else </item>
12 <item> enum </item>
13 <item> extern </item>
14 <item> for </item>
15 <item> goto </item>
16 <item> if </item>
17 <item> return </item>
18 <item> sizeof </item>
19 <item> struct </item>
20 <item> switch </item>
21 <item> typedef </item>
22 <item> union </item>
23 <item> while </item>
24 <item> @class </item>
25 <item> @defs </item>
26 <item> @encode </item>
27 <item> @end </item>
28 <item> @implementation </item>
29 <item> @interface </item>
30 <item> @private </item>
31 <item> @protected </item>
32 <item> @protocol </item>
33 <item> @public </item>
34 <item> @selector </item>
35 <item> self </item>
36 <item> super </item>
37 </list>
38 <list name="types">
39 <item> auto </item>
40 <item> char </item>
41 <item> const </item>
42 <item> double </item>
43 <item> float </item>
44 <item> int </item>
45 <item> long </item>
46 <item> register </item>
47 <item> short </item>
48 <item> signed </item>
49 <item> static </item>
50 <item> unsigned </item>
51 <item> void </item>
52 <item> volatile </item>
53 </list>
54 <contexts>
55 <context attribute="0" lineEndContext="0">
56 <keyword attribute="1" context="0" String="keywords"/>
57 <keyword attribute="2" context="0" String="types"/>
58 <Float attribute="6" context="0">
59 <AnyChar String="fF" attribute="6" context="0"/>
60 </Float>
61 <HlCOct attribute="4" context="0"/>
62 <HlCHex attribute="5" context="0"/>
63 <Int attribute="3" context="0" >
64 <StringDetect attribute="3" context="0" String="ULL" insensitive="TRUE"/>
65 <StringDetect attribute="3" context="0" String="LUL" insensitive="TRUE"/>
66 <StringDetect attribute="3" context="0" String="LLU" insensitive="TRUE"/>
67 <StringDetect attribute="3" context="0" String="UL" insensitive="TRUE"/>
68 <StringDetect attribute="3" context="0" String="LU" insensitive="TRUE"/>
69 <StringDetect attribute="3" context="0" String="LL" insensitive="TRUE"/>
70 <StringDetect attribute="3" context="0" String="U" insensitive="TRUE"/>
71 <StringDetect attribute="3" context="0" String="L" insensitive="TRUE"/>
72 </Int>
73 <HlCChar attribute="7" context="0"/>
74 <DetectChar attribute="8" context="1" char="&quot;"/>
75 <Detect2Chars attribute="10" context="2" char="/" char1="/"/>
76 <Detect2Chars attribute="10" context="3" char="/" char1="*"/>
77 <AnyChar attribute="11" context="0" String="!%&amp;()+,-&lt;=&gt;?[]^{|}~"/>
78 <RegExpr attribute="12" context="4" String="^#"/>
79 <Detect2Chars attribute="8" context="1" char="@" char1="&quot;" />
80 </context>
81 <context attribute="8" lineEndContext="0">
82 <LineContinue attribute="8" context="#stay"/>
83 <HlCStringChar attribute="9" context="1"/>
84 <DetectChar attribute="8" context="0" char="&quot;"/>
85 </context>
86 <context attribute="10" lineEndContext="0"/>
87 <context attribute="10" lineEndContext="3">
88 <Detect2Chars attribute="10" context="0" char="*" char1="/"/>
89 </context>
90 <context attribute="12" lineEndContext="0">
91 <LineContinue attribute="12" context="#stay"/>
92 <RangeDetect attribute="13" context="4" char="&quot;" char1="&quot;"/>
93 <RangeDetect attribute="13" context="4" char="&lt;" char1="&gt;"/>
94 <Detect2Chars attribute="10" context="2" char="/" char1="/"/>
95 <Detect2Chars attribute="10" context="5" char="/" char1="*"/>
96 </context>
97 <context attribute="10" lineEndContext="5">
98 <Detect2Chars attribute="10" context="4" char="*" char1="/" />
99 </context>
100 <context attribute="0" lineEndContext="1" />
101 <context attribute="0" lineEndContext="4" />
102 </contexts>
103 <itemDatas>
104 <itemData name="Normal Text" defStyleNum="dsNormal"/>
105 <itemData name="Keyword" defStyleNum="dsKeyword"/>
106 <itemData name="Data Type" defStyleNum="dsDataType"/>
107 <itemData name="Decimal" defStyleNum="dsDecVal"/>
108 <itemData name="Octal" defStyleNum="dsBaseN"/>
109 <itemData name="Hex" defStyleNum="dsBaseN"/>
110 <itemData name="Float" defStyleNum="dsFloat"/>
111 <itemData name="Char" defStyleNum="dsChar"/>
112 <itemData name="String" defStyleNum="dsString"/>
113 <itemData name="String Char" defStyleNum="dsChar"/>
114 <itemData name="Comment" defStyleNum="dsComment"/>
115 <itemData name="Symbol" defStyleNum="dsNormal"/>
116 <itemData name="Preprocessor" defStyleNum="dsOthers"/>
117 <itemData name="Prep. Lib" defStyleNum="dsOthers"/> <!--,Qt::darkYellow,Qt::yellow,false,false)); -->
118 </itemDatas>
119 </highlighting>
120 <general>
121 <comments>
122 <comment name="multiLine" start="/*" end="*/" />
123 </comments>
124 <keywords casesensitive="1" />
125 </general>
126</language>
diff --git a/share/tinykate/syntax/pascal.xml b/share/tinykate/syntax/pascal.xml
new file mode 100644
index 0000000..2ecda44
--- a/dev/null
+++ b/share/tinykate/syntax/pascal.xml
@@ -0,0 +1,162 @@
1<?xml version="1.0" encoding="UTF-8"?>
2<!DOCTYPE language SYSTEM "language.dtd">
3<language name="Pascal" version="1.00" kateversion="2.0" section="Sources" extensions="*.pp;*.pas" mimetype="text/x-pascal">
4 <highlighting>
5 <list name="keywords">
6 <item> and </item>
7 <item> array </item>
8 <item> asm </item>
9 <item> begin </item>
10 <item> case </item>
11 <item> const </item>
12 <item> div </item>
13 <item> do </item>
14 <item> downto </item>
15 <item> else </item>
16 <item> end </item>
17 <item> for </item>
18 <item> function </item>
19 <item> goto </item>
20 <item> if </item>
21 <item> implementation </item>
22 <item> in </item>
23 <item> interface </item>
24 <item> label </item>
25 <item> mod </item>
26 <item> nil </item>
27 <item> not </item>
28 <item> of </item>
29 <item> on </item>
30 <item> operator </item>
31 <item> or </item>
32 <item> packed </item>
33 <item> procedure </item>
34 <item> program </item>
35 <item> record </item>
36 <item> repeat </item>
37 <item> self </item>
38 <item> set </item>
39 <item> shl </item>
40 <item> shr </item>
41 <item> then </item>
42 <item> to </item>
43 <item> type </item>
44 <item> unit </item>
45 <item> until </item>
46 <item> uses </item>
47 <item> var </item>
48 <item> while </item>
49 <item> with </item>
50 <item> xor </item>
51 <item> break </item>
52 <item> continue </item>
53 <item> constructor </item>
54 <item> destructor </item>
55 <item> inherited </item>
56 <item> inline </item>
57 <item> object </item>
58 <item> private </item>
59 <item> protected </item>
60 <item> public </item>
61 <item> as </item>
62 <item> at </item>
63 <item> automated </item>
64 <item> class </item>
65 <item> dispinterface </item>
66 <item> except </item>
67 <item> exports </item>
68 <item> finalization </item>
69 <item> finally </item>
70 <item> initialization </item>
71 <item> is </item>
72 <item> library </item>
73 <item> on </item>
74 <item> property </item>
75 <item> published </item>
76 <item> raise </item>
77 <item> resourcestring </item>
78 <item> threadvar </item>
79 <item> try </item>
80 <item> dispose </item>
81 <item> exit </item>
82 <item> false </item>
83 <item> new </item>
84 <item> true </item>
85 </list>
86 <list name="types">
87 <item> Integer </item>
88 <item> Cardinal </item>
89 <item> ShortInt </item>
90 <item> SmallInt </item>
91 <item> LongInt </item>
92 <item> Int64 </item>
93 <item> Byte </item>
94 <item> Word </item>
95 <item> LongWord </item>
96 <item> Char </item>
97 <item> AnsiChar </item>
98 <item> WideChar </item>
99 <item> Boolean </item>
100 <item> ByteBool </item>
101 <item> WordBool </item>
102 <item> LongBool </item>
103 <item> Single </item>
104 <item> Double </item>
105 <item> Extended </item>
106 <item> Comp </item>
107 <item> Currency </item>
108 <item> Real </item>
109 <item> Real48 </item>
110 <item> String </item>
111 <item> ShortString </item>
112 <item> AnsiString </item>
113 <item> WideString </item>
114 <item> Pointer </item>
115 <item> Variant</item>
116 <item> File </item>
117 <item> Text </item>
118 </list>
119 <contexts>
120 <context attribute="0" lineEndContext="0">
121 <keyword attribute="1" context="0" String="keywords"/>
122 <keyword attribute="2" context="0" String="types"/>
123 <Float attribute="3" context="0"/>
124 <Int attribute="3" context="0"/>
125 <DetectChar attribute="4" context="1" char="&quot;"/>
126 <StringDetect attribute="5" context="2" String="(*$"/>
127 <Detect2Chars attribute="5" context="3" char="{" char1="$"/>
128 <DetectChar attribute="6" context="4" char="{"/>
129 <Detect2Chars attribute="6" context="5" char="/" char1="/"/>
130 </context>
131 <context attribute="4" lineEndContext="0">
132 <DetectChar attribute="4" context="0" char="&quot;"/>
133 </context>
134 <context attribute="5" lineEndContext="0">
135 <Detect2Chars attribute="5" context="0" char="*" char1=")"/>
136 </context>
137 <context attribute="5" lineEndContext="0">
138 <DetectChar attribute="5" context="0" char="}"/>
139 </context>
140 <context attribute="6" lineEndContext="4">
141 <DetectChar attribute="6" context="0" char="}"/>
142 </context>
143 <context attribute="6" lineEndContext="0"/>
144 </contexts>
145 <itemDatas>
146 <itemData name="Normal Text" defStyleNum="dsNormal" />
147 <itemData name="Keyword" defStyleNum="dsKeyword" />
148 <itemData name="Type" defStyleNum="dsDataType"/>
149 <itemData name="Number" defStyleNum="dsDecVal" />
150 <itemData name="String" defStyleNum="dsString" />
151 <itemData name="Directive" defStyleNum="dsOthers" />
152 <itemData name="Comment" defStyleNum="dsComment" />
153 </itemDatas>
154 </highlighting>
155 <general>
156 <keywords casesensitive="0" />
157 <comments>
158 <comment name="singleLine" start="//" />
159 <comment name="multiLine" start="{" end="}" />
160 </comments>
161 </general>
162</language>
diff --git a/share/tinykate/syntax/perl.xml b/share/tinykate/syntax/perl.xml
new file mode 100644
index 0000000..0bb594d
--- a/dev/null
+++ b/share/tinykate/syntax/perl.xml
@@ -0,0 +1,603 @@
1<?xml version="1.0" encoding="UTF-8"?>
2 <!DOCTYPE language SYSTEM "language.dtd">
3
4<language name="Perl" version="1.02" kateversion="2.0" section="Scripts" extensions="*.pl;*.pm" mimetype="application/x-perl;text/x-perl">
5 <highlighting>
6     <list name="keywords">
7         <item> if </item>
8         <item> unless </item>
9         <item> else </item>
10         <item> elsif </item>
11         <item> while </item>
12         <item> until </item>
13         <item> for </item>
14         <item> each </item>
15         <item> foreach </item>
16         <item> next </item>
17         <item> last </item>
18         <item> break </item>
19         <item> continue </item>
20         <item> return </item>
21         <item> use </item>
22         <item> no </item>
23         <item> require </item>
24         <item> my </item>
25         <item> our </item>
26         <item> local </item>
27         <item> BEGIN </item>
28         <item> END </item>
29         <item>require</item>
30         <item> package </item>
31         <item> sub </item>
32         <item> do </item>
33         <item> __END__ </item>
34         <item> __DATA__ </item>
35         <item> __FILE__ </item>
36         <item> __LINE__ </item>
37         <item> __PACKAGE__ </item>
38     </list>
39     <list name="operators">
40         <item> = </item>
41         <item> != </item>
42         <item> ~= </item>
43         <item> += </item>
44         <item> -= </item>
45         <item> *= </item>
46         <item> /= </item>
47         <item> **= </item>
48         <item> |= </item>
49         <item> ||= </item>
50         <item> &amp;= </item>
51         <item> &amp;&amp;= </item>
52         <item> ?= </item>
53         <item> + </item>
54         <item> - </item>
55         <item> * </item>
56         <item> / </item>
57         <item> % </item>
58         <item> || </item>
59         <item> &amp;&amp; </item>
60         <item> | </item>
61         <item> &amp; </item>
62         <item> &lt; </item>
63         <item> &lt;&lt; </item>
64         <item> &gt; </item>
65         <item> &gt;&gt; </item>
66         <item> ^ </item>
67         <item> -&gt; </item>
68         <item> =&gt; </item>
69         <item> . </item>
70         <item> , </item>
71         <item> ; </item>
72         <item> :: </item>
73         <item> \ </item>
74         <item> and </item>
75         <item> or </item>
76         <item> not </item>
77         <item> eq </item>
78         <item> ne </item>
79     </list>
80     <list name="functions">
81         <item>abs</item>
82         <item>accept</item>
83         <item>alarm</item>
84         <item>atan2</item>
85         <item>bind</item>
86         <item>binmode</item>
87         <item>bless</item>
88         <item>caller</item>
89         <item>chdir</item>
90         <item>chmod</item>
91         <item>chomp</item>
92         <item>chop</item>
93         <item>chown</item>
94         <item>chr</item>
95         <item>chroot</item>
96         <item>close</item>
97         <item>closedir</item>
98         <item>connect</item>
99         <item>cos</item>
100         <item>crypt</item>
101         <item>dbmclose</item>
102         <item>dbmopen</item>
103         <item>defined</item>
104         <item>delete</item>
105         <item>die</item>
106         <item>dump</item>
107         <item>endgrent</item>
108         <item>endhostent</item>
109         <item>endnetent</item>
110         <item>endprotoent</item>
111         <item>endpwent</item>
112         <item>endservent</item>
113         <item>eof</item>
114         <item>eval</item>
115         <item>exec</item>
116         <item>exists</item>
117         <item>exit</item>
118         <item>exp</item>
119         <item>fcntl</item>
120         <item>fileno</item>
121         <item>flock</item>
122         <item>fork</item>
123         <item>format</item>
124         <item>formline</item>
125         <item>getc</item>
126         <item>getgrent</item>
127         <item>getgrgid</item>
128         <item>getgrnam</item>
129         <item>gethostbyaddr</item>
130         <item>gethostbyname</item>
131         <item>gethostent</item>
132         <item>getlogin</item>
133         <item>getnetbyaddr</item>
134         <item>getnetbyname</item>
135         <item>getnetent</item>
136         <item>getpeername</item>
137         <item>getpgrp</item>
138         <item>getppid</item>
139         <item>getpriority</item>
140         <item>getprotobyname</item>
141         <item>getprotobynumber</item>
142         <item>getprotoent</item>
143         <item>getpwent</item>
144         <item>getpwnam</item>
145         <item>getpwuid</item>
146         <item>getservbyname</item>
147         <item>getservbyport</item>
148         <item>getservent</item>
149         <item>getsockname</item>
150         <item>getsockopt</item>
151         <item>glob</item>
152         <item>gmtime</item>
153         <item>goto</item>
154         <item>grep</item>
155         <item>hex</item>
156         <item>import</item>
157         <item>index</item>
158         <item>int</item>
159         <item>ioctl</item>
160         <item>join</item>
161         <item>keys</item>
162         <item>kill</item>
163         <item>last</item>
164         <item>lc</item>
165         <item>lcfirst</item>
166         <item>length</item>
167         <item>link</item>
168         <item>listen</item>
169         <item>localtime</item>
170         <item>lock</item>
171         <item>log</item>
172         <item>lstat</item>
173         <item>map</item>
174         <item>mkdir</item>
175         <item>msgctl</item>
176         <item>msgget</item>
177         <item>msgrcv</item>
178         <item>msgsnd</item>
179         <item>oct</item>
180         <item>open</item>
181         <item>opendir</item>
182         <item>ord</item>
183         <item>pack</item>
184         <item>package</item>
185         <item>pipe</item>
186         <item>pop</item>
187         <item>pos</item>
188         <item>print</item>
189         <item>printf</item>
190         <item>prototype</item>
191         <item>push</item>
192         <item>quotemeta</item>
193         <item>rand</item>
194         <item>read</item>
195         <item>readdir</item>
196         <item>readline</item>
197         <item>readlink</item>
198         <item>recv</item>
199         <item>redo</item>
200         <item>ref</item>
201         <item>rename</item>
202         <item>reset</item>
203         <item>return</item>
204         <item>reverse</item>
205         <item>rewinddir</item>
206         <item>rindex</item>
207         <item>rmdir</item>
208         <item>scalar</item>
209         <item>seek</item>
210         <item>seekdir</item>
211         <item>select</item>
212         <item>semctl</item>
213         <item>semget</item>
214         <item>semop</item>
215         <item>send</item>
216         <item>setgrent</item>
217         <item>sethostent</item>
218         <item>setnetent</item>
219         <item>setpgrp</item>
220         <item>setpriority</item>
221         <item>setprotoent</item>
222         <item>setpwent</item>
223         <item>setservent</item>
224         <item>setsockopt</item>
225         <item>shift</item>
226         <item>shmctl</item>
227         <item>shmget</item>
228         <item>shmread</item>
229         <item>shmwrite</item>
230         <item>shutdown</item>
231         <item>sin</item>
232         <item>sleep</item>
233         <item>socket</item>
234         <item>socketpair</item>
235         <item>sort</item>
236         <item>splice</item>
237         <item>split</item>
238         <item>sprintf</item>
239         <item>sqrt</item>
240         <item>srand</item>
241         <item>stat</item>
242         <item>study</item>
243         <item>sub</item>
244         <item>substr</item>
245         <item>symlink</item>
246         <item>syscall</item>
247         <item>sysread</item>
248         <item>sysseek</item>
249         <item>system</item>
250         <item>syswrite</item>
251         <item>tell</item>
252         <item>telldir</item>
253         <item>tie</item>
254         <item>time</item>
255         <item>times</item>
256         <item>truncate</item>
257         <item>uc</item>
258         <item>ucfirst</item>
259         <item>umask</item>
260         <item>undef</item>
261         <item>unlink</item>
262         <item>unpack</item>
263         <item>unshift</item>
264         <item>untie</item>
265         <item>utime</item>
266         <item>values</item>
267         <item>vec</item>
268         <item>wait</item>
269         <item>waitpid</item>
270         <item>wantarray</item>
271         <item>warn</item>
272         <item>write</item>
273     </list>
274     <list name="pragmas">
275         <item>strict</item>
276         <item>english</item>
277         <item>warnings</item>
278         <item>vars</item>
279         <item>subs</item>
280         <item>utf8</item>
281         <item>sigtrap</item>
282         <item>locale</item>
283         <item>open</item>
284         <item>less</item>
285         <item>integer</item>
286         <item>filetest</item>
287         <item>constant</item>
288         <item>bytes</item>
289         <item>diagnostics</item>
290     </list>
291     <contexts>
292         <context attribute="Normal Text" name="default" lineEndContext="#stay" name="normal">
293             <RegExpr attribute="Keyword" context="#stay" String="^#!\/.*" />
294             <RegExpr attribute="Keyword" context="data_handle" String="^__DATA__" />
295             <RegExpr attribute="Keyword" context="end_handle" String="^__END__" />
296             <keyword attribute="Keyword" context="#stay" String="keywords" />
297             <keyword attribute="Operator" context="#stay" String="operators" />
298             <keyword attribute="Function" context="#stay" String="functions" />
299             <keyword attribute="Pragma" context="#stay" String="pragmas" />
300             <RegExpr attribute="Comment" context="comment" String="#" />
301             <RegExpr attribute="Pod" context="pod" String="^\=(?:head[1-6]|over|back|item|for|begin|end|pod)\s*.*" />
302             <RegExpr attribute="Keyword" context="sub_name_def" String="\s*sub\s+" />
303
304            <HlCOct attribute="Octal" context="slash_safe_escape" />
305             <HlCHex attribute="Hex" context="slash_safe_escape" />
306             <Float attribute="Float" context="slash_safe_escape" />
307             <Int attribute="Decimal" context="slash_safe_escape" />
308
309            <RegExpr attribute="Normal Text" context="#stay" String="\\[&quot;']" />
310             <Detect2Chars attribute="Normal Text" context="#stay" char="&amp;" char1="'" />
311             <DetectChar attribute="String (interpolated)" context="ip_string" char="&quot;"/>
312             <DetectChar attribute="String" context="string" char="'"/>
313             <AnyChar attribute="Operator" context="#stay" String="&amp;\" />
314
315            <RegExpr attribute="Special Variable" context="var_detect" String="\$[0-9]+" />
316             <RegExpr attribute="Special Variable" context="var_detect" String="[@\$](?:[\+\-_]\B|ARGV\b|INC\b)" />
317             <RegExpr attribute="Special Variable" context="var_detect" String="[%\$](?:INC\b|ENV\b|SIG\b)" />
318             <RegExpr attribute="Data Type" context="var_detect" String="\$\$[\$\w_]" />
319             <RegExpr attribute="Data Type" context="var_detect" String="\$[#_][\w_]" />
320             <RegExpr attribute="Special Variable" context="slash_safe_escape" String="\$[^a-zA-Z0-9\s{][A-Z]?" />
321             <RegExpr attribute="Data Type" context="var_detect" String="[\$@%]\{[\w_]+\}" />
322             <RegExpr attribute="Data Type" context="var_detect" String="[\$@%]" />
323             <RegExpr attribute="Data Type" context="var_detect" String="\*[a-zA-Z_]+" />
324
325            <RegExpr attribute="Keyword" context="#stay" String="&lt;[A-Z0-9_]+&gt;" />
326             <RegExpr attribute="Keyword" context="#stay" String="\s*&lt;&lt;\s*[&quot;']?[A-Z0-9_\-]+[&quot;']?" />
327             <RegExpr attribute="Normal Text" context="#stay" String="\s*[)}]\s*/" />
328             <RegExpr attribute="Normal Text" context="sub_name_def" String="\w+::" />
329             <RegExpr attribute="Normal Text" context="#stay" String="\w+[=]" />
330
331            <StringDetect attribute="String (interpolated)" context="ip_string_2" String="qq(" insensitive="FALSE" />
332             <StringDetect attribute="String (interpolated)" context="ip_string_3" String="qq{" insensitive="FALSE" />
333             <StringDetect attribute="String (interpolated)" context="ip_string_4" String="qq[" insensitive="FALSE" />
334             <StringDetect attribute="String (interpolated)" context="ip_string_5" String="qq&lt;" insensitive="FALSE" />
335             <RegExpr attribute="String (interpolated)" context="#stay" String="qq([^a-zA-Z0-9_\s[\]{}()]).*\1" minimal="true" />
336
337            <Detect2Chars attribute="String" context="string_2" char="q" char1="(" />
338             <Detect2Chars attribute="String" context="string_3" char="q" char1="{" />
339             <Detect2Chars attribute="String" context="string_4" char="q" char1="[" />
340             <Detect2Chars attribute="String" context="string_5" char="q" char1="&lt;" />
341             <RegExpr attribute="String" context="#stay" String="q([^a-zA-Z0-9_\s[\]{}()]).+\1" />
342
343            <StringDetect attribute="Normal Text" context="quote_word" String="qw/" />
344
345            <RegExpr attribute="Pattern" context="#stay" String="(?:s|tr|y)\([^)]*\)\s*\([^)]*\)" />
346             <RegExpr attribute="Pattern" context="#stay" String="(?:s|tr|y)\{[^}]*\}\s*\{[^}]*\}" />
347             <RegExpr attribute="Pattern" context="#stay" String="(?:s|tr|y)\[[^}]*\]\s*\[[^\]]*\]" />
348             <RegExpr attribute="Pattern" context="#stay" String="(?:s|tr|y)([^a-zA-Z0-9_\s[\]{}()]).*\1.*\1" minimal="true"/>
349
350            <Detect2Chars attribute="Pattern" context="op_s_pattern" char="s" char1="{" />
351
352            <RegExpr attribute="Normal Text" context="#stay" String="[\w_]{3,}[[{:\-.;,]" />
353             <RegExpr attribute="Normal Text" context="#stay" String="[\w_]([mqsy]|q[rx])\(" />
354
355            <RegExpr attribute="Pattern" context="pattern_slash" String="(?:m|q[rx])\/" />
356             <RegExpr attribute="Pattern" context="#stay" String="(?:m|q[rx])\([^)]*\)" />
357             <RegExpr attribute="Pattern" context="#stay" String="(?:m|q[rx])\{[^}]*\}" />
358             <RegExpr attribute="Pattern" context="#stay" String="(?:m|q[rx])\[[^\]]*\]" />
359             <RegExpr attribute="Pattern" context="#stay" String="(?:m|q[rx])([^a-zA-Z0-9_-\s[\]{}()/]).+\1" minimal="true"/>
360             <RegExpr attribute="Normal Text" context="#stay" String="[\w_]+\s*/" />
361             <RegExpr attribute="Normal Text" context="#stay" String="[&lt;&gt;&quot;':]/" />
362             <DetectChar attribute="Pattern" context="pattern_slash" char="/" />
363             <RegExpr attribute="Operator" context="#stay" String="-[rwxoRWXOeszfdlpSbctugkTBMAC]" />
364         </context>
365         <context attribute="Pod" lineEndContext="#stay" name="pod">
366             <LineContinue attribute="Pod" context="#stay" />
367             <RegExpr attribute="Pod" context="#stay" String="[^\=]*" />
368             <RegExpr attribute="Pod" context="#pop" String="^\=cut.*$" />
369         </context>
370 <context attribute="String (interpolated)" lineContext="#stay" name="ipstring_internal">
371             <RegExpr attribute="String (interpolated)" context="#stay" String="\\[\$@%]" />
372             <RegExpr attribute="Special Variable" context="#stay" String="\$[0-9]+" />
373             <RegExpr attribute="Data Type" context="var_detect" String="\$+#?[a-zA-Z_]+[a-zA-Z0-9_]*" />
374             <RegExpr attribute="Data Type" context="var_detect" String="[@%][\$a-zA-Z_]+[a-zA-Z0-9_]*" />
375             <RegExpr attribute="String Special Character" context="#stay" String="\\[UuLlEtnaefr]" />
376 </context>
377 <context attribute="Pattern" lineEndContext="#stay" name="regex_pattern_internal">
378             <RegExpr attribute="Comment" context="#stay" String="^\s*#.*$" />
379             <RegExpr attribute="Pattern Character Class" context="#stay" String="\\[anDdSsWw]" />
380             <RegExpr attribute="Pattern Internal Operator" context="#stay" String="\\[ABbEGLlNUuQdQZz]" />
381             <RegExpr attribute="Special Variable" context="#stay" String="\\[\d]+" />
382             <RegExpr attribute="Pattern" context="#stay" String="\\." />
383            
384 <RegExpr attribute="Data Type" context="#stay" String="[\$@]#?[a-zA-Z_]+[a-zA-Z0-9_]*" />
385             <Detect2Chars attribute="Pattern Internal Operator" context="pat_ext" char="(" char1="?" />
386             <DetectChar attribute="Pattern Internal Operator" context="pat_char_class" char="[" />
387             <RegExpr attribute="Pattern Internal Operator" context="#stay" String="[()?^*+|]" />
388             <RegExpr attribute="Pattern Internal Operator" context="#stay" String="\{[\d, ]+\}" />
389             <DetectChar attribute="Pattern Internal Operator" context="#stay" char="$" />
390             <RegExpr attribute="Comment" context="#stay" String="\s{3,}#.*$" />
391 </context>
392         <context attribute="String (interpolated)" lineEndContext="#stay" name="ip_string">
393             <LineContinue attribute="String (interpolated)" context="#stay"/>
394             <Detect2Chars attribute="String" context="#stay" char="\" char1="\" />
395             <Detect2Chars attribute="String (interpolated)" context="#stay" char="\" char1="&quot;" />
396             <DetectChar attribute="String (interpolated)" context="#pop" char="&quot;"/>
397 <IncludeRules context="2" />
398         </context>
399         <context attribute="String" lineEndContext="#stay" name="string">
400             <LineContinue attribute="String" context="#stay"/>
401             <Detect2Chars attribute="String" context="#stay" char="\" char1="\" />
402             <Detect2Chars attribute="String" context="#stay" char="\" char1="'" />
403             <DetectChar attribute="String" context="#pop" char="'" />
404         </context>
405         <context attribute="String (interpolated)" lineEndContext="#stay" name="ip_string_2">
406             <LineContinue attribute="String (interpolated)" context="#stay" />
407             <Detect2Chars attribute="String (interpolated)" context="#stay" char="\" char1="(" />
408             <Detect2Chars attribute="String (interpolated)" context="#stay" char="\" char1=")" />
409             <RangeDetect attribute="String (interpolated)" context="#stay" char="(" char1=")" />
410             <DetectChar attribute="String (interpolated)" context="#pop" char=")" />
411 <IncludeRules context="2" />
412         </context>
413         <context attribute="String (interpolated)" lineEndContext="#stay" name="ip_string_3">
414             <LineContinue attribute="String (interpolated)" context="#stay" />
415             <Detect2Chars attribute="String (interpolated)" context="#stay" char="\" char1="{" />
416             <Detect2Chars attribute="String (interpolated)" context="#stay" char="\" char1="}" />
417             <RangeDetect attribute="String (interpolated)" context="#stay" char="{" char1="}" />
418             <DetectChar attribute="String (interpolated)" context="#pop" char="}" />
419 <IncludeRules context="2" />
420         </context>
421         <context attribute="String (interpolated)" lineEndContext="#stay" name="ip_string_4">
422             <LineContinue attribute="String (interpolated)" context="#stay" />
423             <Detect2Chars attribute="String (interpolated)" context="#stay" char="\" char1="[" />
424             <Detect2Chars attribute="String (interpolated)" context="#stay" char="\" char1="]" />
425             <RangeDetect attribute="String (interpolated)" context="#stay" char="[" char1="]" />
426             <DetectChar attribute="String (interpolated)" context="#pop" char="]" />
427 <IncludeRules context="2" />
428         </context>
429         <context attribute="String (interpolated)" lineEndContext="#stay" name="ip_string_5">
430             <LineContinue attribute="String (interpolated)" context="#stay" />
431             <Detect2Chars attribute="String (interpolated)" context="#stay" char="\" char1="&lt;" />
432             <Detect2Chars attribute="String (interpolated)" context="#stay" char="\" char1="&gt;" />
433             <RangeDetect attribute="String (interpolated)" context="#stay" char="&lt;" char1="&gt;" />
434             <DetectChar attribute="String (interpolated)" context="#pop" char="&gt;" />
435 <IncludeRules context="2" />
436         </context>
437         <context attribute="String" lineEndContext="#stay" name="string_2">
438             <LineContinue attribute="String" context="#stay" />
439             <Detect2Chars attribute="String" context="#stay" char="\" char1="(" />
440             <Detect2Chars attribute="String" context="#stay" char="\" char1=")" />
441             <RangeDetect attribute="String" context="#stay" char="(" char1=")" />
442             <DetectChar attribute="String" context="#pop" char=")" />
443         </context>
444         <context attribute="String" lineEndContext="#stay" name="string_3">
445             <Detect2Chars attribute="String" context="#stay" char="\" char1="{" />
446             <Detect2Chars attribute="String" context="#stay" char="\" char1="}" />
447             <RangeDetect attribute="String" context="#stay" char="{" char1="}" />
448             <LineContinue attribute="String" context="#stay" />
449             <DetectChar attribute="String" context="#pop" char="}" />
450         </context>
451         <context attribute="String" lineEndContext="#stay" name="string_4">
452             <LineContinue attribute="String" context="#stay" />
453             <Detect2Chars attribute="String" context="#stay" char="\" char1="[" />
454             <Detect2Chars attribute="String" context="#stay" char="\" char1="]" />
455             <RangeDetect attribute="String" context="#stay" char="[" char1="]" />
456             <DetectChar attribute="String" context="#pop" char="]" />
457         </context>
458         <context attribute="String" lineEndContext="#stay" name="string_5">
459             <LineContinue attribute="String" context="#stay" />
460             <Detect2Chars attribute="String" context="#stay" char="\" char1="&lt;" />
461             <Detect2Chars attribute="String" context="#stay" char="\" char1="&gt;" />
462             <RangeDetect attribute="String" context="#stay" char="&lt;" char1="&gt;" />
463             <DetectChar attribute="String" context="#pop" char="&gt;" />
464         </context>
465         <context attribute="Pattern" lineEndContext="#stay" name="op_s_pattern">
466             <LineContinue attribute="Pattern" context="#stay" />
467             <RegExpr attribute="Comment" context="#stay" String="\s+#.*$" />
468             <Detect2Chars attribute="Pattern" context="#stay" char="\" char1="{" />
469             <Detect2Chars attribute="Pattern" context="#stay" char="\" char1="}" />
470 <IncludeRules context="3" />
471 <DetectChar attribute="Pattern" context="op_s_replace" char="}" />
472         </context>
473         <context attribute="Normal Text" lineEndContext="#stay" name="op_s_replace">
474             <LineContinue attribute="Normal Text" context="#stay" />
475             <RegExpr attribute="Normal Text" context="#stay" String="[&quot;'\/]" />
476             <RegExpr attribute="Comment" context="#stay" String="\s*#.*$" />
477             <RegExpr attribute="Special Variable" context="#stay" String="\$[0-9]+" />
478             <RegExpr attribute="Data Type" context="#stay" String="\$+#?[a-zA-Z_]+[a-zA-Z0-9_]*" />
479             <RegExpr attribute="Data Type" context="#stay" String="[@%][\$a-zA-Z_]+[a-zA-Z0-9_]*" />
480             <keyword attribute="Keyword" context="0" String="keywords" />
481             <keyword attribute="Operator" context="0" String="operators" />
482             <keyword attribute="Function" context="0" String="functions" />
483             <Detect2Chars attribute="Pattern" context="#stay" char="\" char1="{" />
484             <Detect2Chars attribute="Pattern" context="#stay" char="\" char1="}" />
485             <DetectChar attribute="Pattern" context="#stay" char="{" />
486             <DetectChar attribute="Pattern" context="#pop#pop" char="}" />
487         </context>
488         <context attribute="Normal Text" lineEndContext="#stay" name="quote_word">
489             <LineContinue attribute="Normal Text" context="#stay" />
490             <Detect2Chars attribute="Normal Text" context="#stay" char="\" char1="/" />
491             <DetectChar attribute="Normal Text" context="#pop" char="/" />
492             <RegExpr attribute="Data Type" context="#stay" String="\$+#?[a-zA-Z_]+[a-zA-Z0-9_]*" />
493             <RegExpr attribute="Data Type" context="#stay" String="[@%][\$a-zA-Z_]+[a-zA-Z0-9_]*" />
494         </context>
495         <context attribute="Pattern" lineEndContext="#stay" name="pattern_slash">
496             <RegExpr attribute="Comment" context="#stay" String="^\s*#.*$" />
497             <LineContinue attribute="Pattern" context="#stay" />
498 <IncludeRules context="3" />
499             <DetectChar attribute="Pattern" context="#pop" char="/" />
500         </context>
501         <context attribute="Data" lineEndContext="#stay" name="data_handle">
502             <LineContinue attribute="Data" context="#stay"/>
503             <RegExpr attribute="Pod" context="pod" String="^\=(?:head[1-6]|over|back|item|for|begin|end|pod)\s*.*" />
504             <RegExpr attribute="Keyword" context="end_handle" String="^__END__" />
505         </context>
506         <context attribute="Nothing" lineEndContext="#stay" name="end_handle">
507             <LineContinue attribute="Nothing" context="#stay" />
508             <RegExpr attribute="Pod" context="pod" String="^\=(?:head[1-6]|over|back|item|for|begin|end|pod)\s*.*" />
509             <RegExpr attribute="Keyword" context="data_handle" String="^__DATA__" />
510         </context>
511         <context attribute="Normal Text" lineEndContext="#stay" name="var_detect" fallthrough="true" fallthroughContext="#pop">
512             <RegExpr attribute="Data Type" context="#stay" String="[\w_]+" />
513             <AnyChar attribute="Normal Text" context="#pop" String="[;,*=!&amp;" />
514             <RegExpr attribute="Normal Text" context="#stay" String="\s*[})]?\s*/" />
515             <DetectChar attribute="Operator" context="#stay" char="'" />
516             <RegExpr attribute="Normal Text" context="in_hash_elem" String="\s*\{" />
517             <RegExpr attribute="Operator" context="#stay" String="(\+\+|--)" />
518             <Detect2Chars attribute="Normal Text" context="#stay" char=":" char1=":" />
519             <RegExpr attribute="Normal Text" context="#stay" String="\s*\}?\s*-&gt;\s*" />
520             <RegExpr attribute="Normal Text" context="in_hash_elem" String="\s*\}\s*\{\s*" />
521             <RegExpr attribute="Data Type" context="#stay" String="[\$@%]" />
522         </context>
523         <context attribute="Normal Text" lineEndContext="#pop" name="slash_safe_escape" fallthrough="true" fallthroughContext="#pop">
524             <RegExpr attribute="Normal Text" context="#pop" String="\s*[)}\]]?\s*/" />
525             <keyword attribute="Keyword" context="#pop" String="keywords" />
526         </context>
527         <context attribute="Normal Text" lineEndContext="#pop" name="sub_name_def">
528             <RegExpr attribute="Normal Text" context="#stay" String="[\w_]+" />
529             <DetectChar attribute="Data Type" context="var_detect" char="$" />
530             <RegExpr attribute="Normal Text" context="sub_arg_definition" String="\s*\(" />
531             <AnyChar attribute="Normal Text" context="#pop" String=";{" />
532         </context>
533         <context attribute="Normal Text" lineEndContext="#stay" name="package_qualified_blank">
534             <RegExpr attribute="Normal Text" context="#pop" String="[\w_]+" />
535         </context>
536         <context attribute="Normal Text" lineEndContext="#pop" name="in_hash_elem">
537             <DetectChar attribute="String (interpolated)" context="ip_string" char="&quot;" />
538             <DetectChar attribute="String" context="string" char="'" />
539             <RegExpr attribute="String" context="#stay" String="\s*[\w_]+\s*(?![\w_\(])" />
540             <RegExpr attribute="Special Variable" context="#stay" String="\$[0-9]+" />
541             <RegExpr attribute="Special Variable" context="#stay" String="[@\$](?:[\+\-_]\B|ARGV\b|INC\b)" />
542             <RegExpr attribute="Special Variable" context="#stay" String="[%\$](?:INC\b|ENV\b|SIG\b)" />
543             <RegExpr attribute="Data Type" context="var_detect" String="\$\$[\$\w_]" />
544             <RegExpr attribute="Data Type" context="var_detect" String="\$[#_][\w_]" />
545             <RegExpr attribute="Special Variable" context="#stay" String="\$[^a-zA-Z0-9\s{][A-Z]" />
546             <RegExpr attribute="Data Type" context="var_detect" String="[\$@%]" />
547             <RegExpr attribute="Normal Text" context="#pop" String="\s*[\w_]+\s*\(" />
548             <DetectChar attribute="Normal Text" context="#pop" char="}" />
549         </context>
550         <context attribute="Normal Text" lineEndContext="#stay" name="sub_arg_definition" fallthrough="true" fallthroughContext="#pop#pop">
551             <RegExpr attribute="Data Type" context="#stay" String="[\$@%]" />
552             <DetectChar attribute="Normal Text" context="slash_safe_escape" char=")" />
553         </context>
554         <context attribute="Pattern Internal Operator" lineEndContext="#stay" name="pat_ext">
555             <RegExpr attribute="Comment" context="#pop" String="\#[^)]*" />
556             <RegExpr attribute="Pattern Internal Operator" context="#pop" String="[:=!&gt;&lt;]+" />
557             <DetectChar attribute="Pattern Internal Operator" context="#pop" char=")" />
558         </context>
559         <context attribute="Pattern Character Class" lineEndContext="#stay" name="pat_char_class">
560             <LineContinue attribute="Pattern Character Class" context="#stay" />
561             <DetectChar attribute="Pattern Internal Operator" context="#stay" char="^" />
562             <Detect2Chars attribute="Pattern Character Class" context="#stay" char="\" char1="\" />
563             <Detect2Chars attribute="Pattern Character Class" context="#stay" char="\" char1="]" />
564             <RangeDetect attribute="Pattern Character Class" context="#stay" char="[" char1="]" />
565             <DetectChar attribute="Pattern Internal Operator" context="#pop" char="]" />
566         </context>
567 <context attribute="Comment" name="comment" lineEndContext="#pop">
568 <RegExpr attribute="Note" context="#stay" String="(?:FIXME|TODO|NOTE):?" />
569 </context>
570     </contexts>
571     <itemDatas>
572         <itemData name="Normal Text"  defStyleNum="dsNormal" />
573         <itemData name="Keyword"      defStyleNum="dsKeyword" />
574         <itemData name="Pragma"       defStyleNum="dsKeyword" />
575         <itemData name="Function"     defStyleNum="dsNormal" color="#000080" selColor="#ffffff" bold="0" italic="0"/>
576         <itemData name="Operator"     defStyleNum="dsKeyword" />
577         <itemData name="Data Type"    defStyleNum="dsDataType" />
578         <itemData name="Special Variable" defStyleNum="dsDataType" color="#C00000" selColor="#C00000" bold="0" italic="0" />
579         <itemData name="Decimal"      defStyleNum="dsDecVal" />
580         <itemData name="Octal"        defStyleNum="dsBaseN" />
581         <itemData name="Hex"          defStyleNum="dsBaseN" />
582         <itemData name="Float"        defStyleNum="dsFloat" />
583         <itemData name="String"       defStyleNum="dsString" color="#FF6C6C" selColor="#FF6C6C" bold="0" italic="0" />
584         <itemData name="String (interpolated)" defStyleNum="dsString" />
585         <itemData name="String Special Character" defStyleNum="dsChar" />
586         <itemData name="Pattern"      defStyleNum="dsOthers" />
587         <itemData name="Pattern Internal Operator" defStyleNum="dsChar" />
588         <itemData name="Pattern Character Class" defStyleNum="dsBaseN" />
589         <itemData name="Data"         defStyleNum="dsNormal" />
590         <itemData name="Comment"      defStyleNum="dsComment" />
591         <itemData name="Pod"          defStyleNum="dsComment" />
592         <itemData name="Nothing"      defStyleNum="dsComment" />
593         <itemData name="Note"       defStyleNum="dsDecVal" color="#0000FF" selColor="#ffffff" bold="1" italic="0"/>
594     </itemDatas>
595   </highlighting>
596   <general>
597     <comments>
598       <comment name="singleLine" start="#" />
599     </comments>
600     <keywords casesensitive="1" />
601   </general>
602 </language>
603
diff --git a/share/tinykate/syntax/php.xml b/share/tinykate/syntax/php.xml
new file mode 100644
index 0000000..055dd0f
--- a/dev/null
+++ b/share/tinykate/syntax/php.xml
@@ -0,0 +1,2767 @@
1<?xml version="1.0" encoding="UTF-8"?>
2<!DOCTYPE language SYSTEM "language.dtd">
3<language name="PHP" version="1.02" kateversion="2.0" section="Scripts" extensions="*.php;*.php3;*.wml;*.phtml" mimetype="text/x-php4-src;text/x-php3-src;text/vnd.wap.wml">
4 <highlighting>
5 <list name="others">
6 <item> ; </item>
7 <item> ( </item>
8 <item> ) </item>
9 <item> { </item>
10 <item> } </item>
11 <item> : </item>
12 <item> , </item>
13 <item> [ </item>
14 <item> ] </item>
15 </list>
16 <list name="operators">
17 <item> or </item>
18 <item> xor </item>
19 <item> and </item>
20 <item> = </item>
21 <item> += </item>
22 <item> -= </item>
23 <item> *= </item>
24 <item> /= </item>
25 <item> .= </item>
26 <item> %= </item>
27 <item> &amp;= </item>
28 <item> |= </item>
29 <item> ^= </item>
30 <item> ~= </item>
31 <item> &lt;&lt;= </item>
32 <item> &gt;&gt;= </item>
33 <item> || </item>
34 <item> &amp;&amp; </item>
35 <item> | </item>
36 <item> ^ </item>
37 <item> &amp; </item>
38 <item> == </item>
39 <item> != </item>
40 <item> === </item>
41 <item> !== </item>
42 <item> &lt; </item>
43 <item> &lt;= </item>
44 <item> &gt; </item>
45 <item> &gt;= </item>
46 <item> &lt;&lt; </item>
47 <item> &gt;&gt; </item>
48 <item> + </item>
49 <item> - </item>
50 <item> . </item>
51 <item> * </item>
52 <item> / </item>
53 <item> % </item>
54 <item> ! </item>
55 <item> ~ </item>
56 <item> ++ </item>
57 <item> -- </item>
58 </list>
59 <list name="control structures">
60 <item>as</item>
61 <item>case</item>
62 <item>if</item>
63 <item>else</item>
64 <item>elseif</item>
65 <item>while</item>
66 <item>do</item>
67 <item>for</item>
68 <item>foreach</item>
69 <item>break</item>
70 <item>continue</item>
71 <item>switch</item>
72 <item>declare</item>
73 <item>return</item>
74 <item>require</item>
75 <item>include</item>
76 <item>require_once</item>
77 <item>include_once</item>
78 </list>
79 <list name="keywords">
80 <item> var </item>
81 <item> class </item>
82 <item> new </item>
83 <item> function </item>
84 <item> default </item>
85 <item> E_ALL </item>
86 <item> E_ERROR </item>
87 <item> E_NOTICE </item>
88 <item> E_PARSE </item>
89 <item> E_USER_ERROR </item>
90 <item> E_USER_NOTICE </item>
91 <item> E_USER_WARNING </item>
92 <item> E_WARNING </item>
93 <item> FALSE </item>
94 <item> PHP_OS </item>
95 <item> PHP_VERSION </item>
96 <item> __FILE__ </item>
97 <item> __LINE__ </item>
98 <item> TRUE </item>
99 </list>
100 <list name="functions">
101 <item> abs </item>
102 <item> acos </item>
103 <item> acosh </item>
104 <item> addcslashes </item>
105 <item> addslashes </item>
106 <item> apache_child_terminate </item>
107 <item> apache_lookup_uri </item>
108 <item> apache_note </item>
109 <item> apache_setenv </item>
110 <item> array </item>
111 <item> array_change_key_case </item>
112 <item> array_chunk </item>
113 <item> array_count_values </item>
114 <item> array_diff </item>
115 <item> array_fill </item>
116 <item> array_filter </item>
117 <item> array_flip </item>
118 <item> array_intersect </item>
119 <item> array_key_exists </item>
120 <item> array_keys </item>
121 <item> array_map </item>
122 <item> array_merge </item>
123 <item> array_merge_recursive </item>
124 <item> array_multisort </item>
125 <item> array_pad </item>
126 <item> array_pop </item>
127 <item> array_push </item>
128 <item> array_rand </item>
129 <item> array_reduce </item>
130 <item> array_reverse </item>
131 <item> array_search </item>
132 <item> array_shift </item>
133 <item> array_slice </item>
134 <item> array_splice </item>
135 <item> array_sum </item>
136 <item> array_unique </item>
137 <item> array_unshift </item>
138 <item> array_values </item>
139 <item> array_walk </item>
140 <item> arsort </item>
141 <item> ascii2ebcdic </item>
142 <item> asin </item>
143 <item> asinh </item>
144 <item> asort </item>
145 <item> aspell_check </item>
146 <item> aspell_check_raw </item>
147 <item> aspell_new </item>
148 <item> aspell_suggest </item>
149 <item> assert </item>
150 <item> assert_options </item>
151 <item> atan </item>
152 <item> atan2 </item>
153 <item> atanh </item>
154 <item> base64_decode </item>
155 <item> base64_encode </item>
156 <item> base_convert </item>
157 <item> basename </item>
158 <item> bcadd </item>
159 <item> bccomp </item>
160 <item> bcdiv </item>
161 <item> bcmod </item>
162 <item> bcmul </item>
163 <item> bcpow </item>
164 <item> bcscale </item>
165 <item> bcsqrt </item>
166 <item> bcsub </item>
167 <item> bin2hex </item>
168 <item> bind_textdomain_codeset </item>
169 <item> bindec </item>
170 <item> bindtextdomain </item>
171 <item> bzclose </item>
172 <item> bzcompress </item>
173 <item> bzdecompress </item>
174 <item> bzerrno </item>
175 <item> bzerror </item>
176 <item> bzerrstr </item>
177 <item> bzflush </item>
178 <item> bzopen </item>
179 <item> bzread </item>
180 <item> bzwrite </item>
181 <item> cal_days_in_month </item>
182 <item> cal_from_jd </item>
183 <item> cal_info </item>
184 <item> cal_to_jd </item>
185 <item> call_user_func </item>
186 <item> call_user_func_array </item>
187 <item> call_user_method </item>
188 <item> call_user_method_array </item>
189 <item> ccvs_add </item>
190 <item> ccvs_auth </item>
191 <item> ccvs_command </item>
192 <item> ccvs_count </item>
193 <item> ccvs_delete </item>
194 <item> ccvs_done </item>
195 <item> ccvs_init </item>
196 <item> ccvs_lookup </item>
197 <item> ccvs_new </item>
198 <item> ccvs_report </item>
199 <item> ccvs_return </item>
200 <item> ccvs_reverse </item>
201 <item> ccvs_sale </item>
202 <item> ccvs_status </item>
203 <item> ccvs_textvalue </item>
204 <item> ccvs_void </item>
205 <item> ceil </item>
206 <item> chdir </item>
207 <item> checkdate </item>
208 <item> checkdnsrr </item>
209 <item> chgrp </item>
210 <item> chmod </item>
211 <item> chop </item>
212 <item> chown </item>
213 <item> chr </item>
214 <item> chroot </item>
215 <item> chunk_split </item>
216 <item> class_exists </item>
217 <item> clearstatcache </item>
218 <item> closedir </item>
219 <item> closelog </item>
220 <item> com </item>
221 <item> com_addref </item>
222 <item> com_get </item>
223 <item> com_invoke </item>
224 <item> com_isenum </item>
225 <item> com_load </item>
226 <item> com_load_typelib </item>
227 <item> com_propget </item>
228 <item> com_propput </item>
229 <item> com_propset </item>
230 <item> com_release </item>
231 <item> com_set </item>
232 <item> compact </item>
233 <item> connection_aborted </item>
234 <item> connection_status </item>
235 <item> connection_timeout </item>
236 <item> constant </item>
237 <item> convert_cyr_string </item>
238 <item> copy </item>
239 <item> cos </item>
240 <item> cosh </item>
241 <item> count </item>
242 <item> count_chars </item>
243 <item> cpdf_add_annotation </item>
244 <item> cpdf_add_outline </item>
245 <item> cpdf_arc </item>
246 <item> cpdf_begin_text </item>
247 <item> cpdf_circle </item>
248 <item> cpdf_clip </item>
249 <item> cpdf_close </item>
250 <item> cpdf_closepath </item>
251 <item> cpdf_closepath_fill_stroke </item>
252 <item> cpdf_closepath_stroke </item>
253 <item> cpdf_continue_text </item>
254 <item> cpdf_curveto </item>
255 <item> cpdf_end_text </item>
256 <item> cpdf_fill </item>
257 <item> cpdf_fill_stroke </item>
258 <item> cpdf_finalize </item>
259 <item> cpdf_finalize_page </item>
260 <item> cpdf_global_set_document_limits </item>
261 <item> cpdf_import_jpeg </item>
262 <item> cpdf_lineto </item>
263 <item> cpdf_moveto </item>
264 <item> cpdf_newpath </item>
265 <item> cpdf_open </item>
266 <item> cpdf_output_buffer </item>
267 <item> cpdf_page_init </item>
268 <item> cpdf_place_inline_image </item>
269 <item> cpdf_rect </item>
270 <item> cpdf_restore </item>
271 <item> cpdf_rlineto </item>
272 <item> cpdf_rmoveto </item>
273 <item> cpdf_rotate </item>
274 <item> cpdf_rotate_text </item>
275 <item> cpdf_save </item>
276 <item> cpdf_save_to_file </item>
277 <item> cpdf_scale </item>
278 <item> cpdf_set_action_url </item>
279 <item> cpdf_set_char_spacing </item>
280 <item> cpdf_set_creator </item>
281 <item> cpdf_set_current_page </item>
282 <item> cpdf_set_font </item>
283 <item> cpdf_set_font_directories </item>
284 <item> cpdf_set_font_map_file </item>
285 <item> cpdf_set_horiz_scaling </item>
286 <item> cpdf_set_keywords </item>
287 <item> cpdf_set_leading </item>
288 <item> cpdf_set_page_animation </item>
289 <item> cpdf_set_subject </item>
290 <item> cpdf_set_text_matrix </item>
291 <item> cpdf_set_text_pos </item>
292 <item> cpdf_set_text_rendering </item>
293 <item> cpdf_set_text_rise </item>
294 <item> cpdf_set_title </item>
295 <item> cpdf_set_viewer_preferences </item>
296 <item> cpdf_set_word_spacing </item>
297 <item> cpdf_setdash </item>
298 <item> cpdf_setflat </item>
299 <item> cpdf_setgray </item>
300 <item> cpdf_setgray_fill </item>
301 <item> cpdf_setgray_stroke </item>
302 <item> cpdf_setlinecap </item>
303 <item> cpdf_setlinejoin </item>
304 <item> cpdf_setlinewidth </item>
305 <item> cpdf_setmiterlimit </item>
306 <item> cpdf_setrgbcolor </item>
307 <item> cpdf_setrgbcolor_fill </item>
308 <item> cpdf_setrgbcolor_stroke </item>
309 <item> cpdf_show </item>
310 <item> cpdf_show_xy </item>
311 <item> cpdf_stringwidth </item>
312 <item> cpdf_stroke </item>
313 <item> cpdf_text </item>
314 <item> cpdf_translate </item>
315 <item> crack_check </item>
316 <item> crack_closedict </item>
317 <item> crack_getlastmessage </item>
318 <item> crack_opendict </item>
319 <item> crc32 </item>
320 <item> create_function </item>
321 <item> crypt </item>
322 <item> ctype_alnum </item>
323 <item> ctype_alpha </item>
324 <item> ctype_cntrl </item>
325 <item> ctype_digit </item>
326 <item> ctype_graph </item>
327 <item> ctype_lower </item>
328 <item> ctype_print </item>
329 <item> ctype_punct </item>
330 <item> ctype_space </item>
331 <item> ctype_upper </item>
332 <item> ctype_xdigit </item>
333 <item> curl_close </item>
334 <item> curl_errno </item>
335 <item> curl_error </item>
336 <item> curl_exec </item>
337 <item> curl_getinfo </item>
338 <item> curl_init </item>
339 <item> curl_setopt </item>
340 <item> curl_version </item>
341 <item> current </item>
342 <item> cybercash_base64_decode </item>
343 <item> cybercash_base64_encode </item>
344 <item> cybercash_decr </item>
345 <item> cybercash_encr </item>
346 <item> cybermut_creerformulairecm </item>
347 <item> cybermut_creerreponsecm </item>
348 <item> cybermut_testmac </item>
349 <item> cyrus_authenticate </item>
350 <item> cyrus_bind </item>
351 <item> cyrus_close </item>
352 <item> cyrus_connect </item>
353 <item> cyrus_query </item>
354 <item> cyrus_unbind </item>
355 <item> date </item>
356 <item> dba_close </item>
357 <item> dba_delete </item>
358 <item> dba_exists </item>
359 <item> dba_fetch </item>
360 <item> dba_firstkey </item>
361 <item> dba_insert </item>
362 <item> dba_nextkey </item>
363 <item> dba_open </item>
364 <item> dba_optimize </item>
365 <item> dba_popen </item>
366 <item> dba_replace </item>
367 <item> dba_sync </item>
368 <item> dbase_add_record </item>
369 <item> dbase_close </item>
370 <item> dbase_create </item>
371 <item> dbase_delete_record </item>
372 <item> dbase_get_record </item>
373 <item> dbase_get_record_with_names </item>
374 <item> dbase_numfields </item>
375 <item> dbase_numrecords </item>
376 <item> dbase_open </item>
377 <item> dbase_pack </item>
378 <item> dbase_replace_record </item>
379 <item> dblist </item>
380 <item> dbmclose </item>
381 <item> dbmdelete </item>
382 <item> dbmexists </item>
383 <item> dbmfetch </item>
384 <item> dbmfirstkey </item>
385 <item> dbminsert </item>
386 <item> dbmnextkey </item>
387 <item> dbmopen </item>
388 <item> dbmreplace </item>
389 <item> dbplus_add </item>
390 <item> dbplus_aql </item>
391 <item> dbplus_chdir </item>
392 <item> dbplus_close </item>
393 <item> dbplus_curr </item>
394 <item> dbplus_errcode </item>
395 <item> dbplus_errno </item>
396 <item> dbplus_find </item>
397 <item> dbplus_first </item>
398 <item> dbplus_flush </item>
399 <item> dbplus_freealllocks </item>
400 <item> dbplus_freelock </item>
401 <item> dbplus_freerlocks </item>
402 <item> dbplus_getlock </item>
403 <item> dbplus_getunique </item>
404 <item> dbplus_info </item>
405 <item> dbplus_last </item>
406 <item> dbplus_lockrel </item>
407 <item> dbplus_next </item>
408 <item> dbplus_open </item>
409 <item> dbplus_prev </item>
410 <item> dbplus_rchperm </item>
411 <item> dbplus_rcreate </item>
412 <item> dbplus_rcrtexact </item>
413 <item> dbplus_rcrtlike </item>
414 <item> dbplus_resolve </item>
415 <item> dbplus_restorepos </item>
416 <item> dbplus_rkeys </item>
417 <item> dbplus_ropen </item>
418 <item> dbplus_rquery </item>
419 <item> dbplus_rrename </item>
420 <item> dbplus_rsecindex </item>
421 <item> dbplus_runlink </item>
422 <item> dbplus_rzap </item>
423 <item> dbplus_savepos </item>
424 <item> dbplus_setindex </item>
425 <item> dbplus_setindexbynumber </item>
426 <item> dbplus_sql </item>
427 <item> dbplus_tcl </item>
428 <item> dbplus_tremove </item>
429 <item> dbplus_undo </item>
430 <item> dbplus_undoprepare </item>
431 <item> dbplus_unlockrel </item>
432 <item> dbplus_unselect </item>
433 <item> dbplus_update </item>
434 <item> dbplus_xlockrel </item>
435 <item> dbplus_xunlockrel </item>
436 <item> dbx_close </item>
437 <item> dbx_compare </item>
438 <item> dbx_connect </item>
439 <item> dbx_error </item>
440 <item> dbx_query </item>
441 <item> dbx_sort </item>
442 <item> dcgettext </item>
443 <item> dcngettext </item>
444 <item> debugger_off </item>
445 <item> debugger_on </item>
446 <item> decbin </item>
447 <item> dechex </item>
448 <item> decoct </item>
449 <item> define </item>
450 <item> define_syslog_variables </item>
451 <item> defined </item>
452 <item> deg2rad </item>
453 <item> delete </item>
454 <item> dgettext </item>
455 <item> die </item>
456 <item> dio_close </item>
457 <item> dio_fcntl </item>
458 <item> dio_open </item>
459 <item> dio_read </item>
460 <item> dio_seek </item>
461 <item> dio_stat </item>
462 <item> dio_truncate </item>
463 <item> dio_write </item>
464 <item> dir </item>
465 <item> dirname </item>
466 <item> disk_free_space </item>
467 <item> disk_total_space </item>
468 <item> diskfreespace </item>
469 <item> dl </item>
470 <item> dngettext </item>
471 <item> domxml_add_root </item>
472 <item> domxml_attributes </item>
473 <item> domxml_children </item>
474 <item> domxml_dumpmem </item>
475 <item> domxml_get_attribute </item>
476 <item> domxml_new_child </item>
477 <item> domxml_new_xmldoc </item>
478 <item> domxml_node </item>
479 <item> domxml_node_set_content </item>
480 <item> domxml_node_unlink_node </item>
481 <item> domxml_root </item>
482 <item> domxml_set_attribute </item>
483 <item> domxml_version </item>
484 <item> dotnet_load </item>
485 <item> doubleval </item>
486 <item> each </item>
487 <item> easter_date </item>
488 <item> easter_days </item>
489 <item> ebcdic2ascii </item>
490 <item> echo </item>
491 <item> empty </item>
492 <item> end </item>
493 <item> ereg </item>
494 <item> ereg_replace </item>
495 <item> eregi </item>
496 <item> eregi_replace </item>
497 <item> error_log </item>
498 <item> error_reporting </item>
499 <item> escapeshellarg </item>
500 <item> escapeshellcmd </item>
501 <item> eval </item>
502 <item> exec </item>
503 <item> exif_imagetype </item>
504 <item> exif_read_data </item>
505 <item> exif_thumbnail </item>
506 <item> exit </item>
507 <item> exp </item>
508 <item> explode </item>
509 <item> expm1 </item>
510 <item> extension_loaded </item>
511 <item> extract </item>
512 <item> ezmlm_hash </item>
513 <item> fbsql_affected_rows </item>
514 <item> fbsql_autocommit </item>
515 <item> fbsql_change_user </item>
516 <item> fbsql_close </item>
517 <item> fbsql_commit </item>
518 <item> fbsql_connect </item>
519 <item> fbsql_create_blob </item>
520 <item> fbsql_create_clob </item>
521 <item> fbsql_create_db </item>
522 <item> fbsql_data_seek </item>
523 <item> fbsql_database </item>
524 <item> fbsql_database_password </item>
525 <item> fbsql_db_query </item>
526 <item> fbsql_db_status </item>
527 <item> fbsql_drop_db </item>
528 <item> fbsql_errno </item>
529 <item> fbsql_error </item>
530 <item> fbsql_fetch_array </item>
531 <item> fbsql_fetch_assoc </item>
532 <item> fbsql_fetch_field </item>
533 <item> fbsql_fetch_lengths </item>
534 <item> fbsql_fetch_object </item>
535 <item> fbsql_fetch_row </item>
536 <item> fbsql_field_flags </item>
537 <item> fbsql_field_len </item>
538 <item> fbsql_field_name </item>
539 <item> fbsql_field_seek </item>
540 <item> fbsql_field_table </item>
541 <item> fbsql_field_type </item>
542 <item> fbsql_free_result </item>
543 <item> fbsql_get_autostart_info </item>
544 <item> fbsql_hostname </item>
545 <item> fbsql_insert_id </item>
546 <item> fbsql_list_dbs </item>
547 <item> fbsql_list_fields </item>
548 <item> fbsql_list_tables </item>
549 <item> fbsql_next_result </item>
550 <item> fbsql_num_fields </item>
551 <item> fbsql_num_rows </item>
552 <item> fbsql_password </item>
553 <item> fbsql_pconnect </item>
554 <item> fbsql_query </item>
555 <item> fbsql_read_blob </item>
556 <item> fbsql_read_clob </item>
557 <item> fbsql_result </item>
558 <item> fbsql_rollback </item>
559 <item> fbsql_select_db </item>
560 <item> fbsql_set_lob_mode </item>
561 <item> fbsql_set_transaction </item>
562 <item> fbsql_start_db </item>
563 <item> fbsql_stop_db </item>
564 <item> fbsql_tablename </item>
565 <item> fbsql_username </item>
566 <item> fbsql_warnings </item>
567 <item> fclose </item>
568 <item> fdf_add_template </item>
569 <item> fdf_close </item>
570 <item> fdf_create </item>
571 <item> fdf_get_file </item>
572 <item> fdf_get_status </item>
573 <item> fdf_get_value </item>
574 <item> fdf_next_field_name </item>
575 <item> fdf_open </item>
576 <item> fdf_save </item>
577 <item> fdf_set_ap </item>
578 <item> fdf_set_encoding </item>
579 <item> fdf_set_file </item>
580 <item> fdf_set_flags </item>
581 <item> fdf_set_javascript_action </item>
582 <item> fdf_set_opt </item>
583 <item> fdf_set_status </item>
584 <item> fdf_set_submit_form_action </item>
585 <item> fdf_set_value </item>
586 <item> feof </item>
587 <item> fflush </item>
588 <item> fgetc </item>
589 <item> fgetcsv </item>
590 <item> fgets </item>
591 <item> fgetss </item>
592 <item> fgetwrapperdata </item>
593 <item> file </item>
594 <item> file_exists </item>
595 <item> file_get_contents </item>
596 <item> fileatime </item>
597 <item> filectime </item>
598 <item> filegroup </item>
599 <item> fileinode </item>
600 <item> filemtime </item>
601 <item> fileowner </item>
602 <item> fileperms </item>
603 <item> filepro </item>
604 <item> filepro_fieldcount </item>
605 <item> filepro_fieldname </item>
606 <item> filepro_fieldtype </item>
607 <item> filepro_fieldwidth </item>
608 <item> filepro_retrieve </item>
609 <item> filepro_rowcount </item>
610 <item> filesize </item>
611 <item> filetype </item>
612 <item> floatval </item>
613 <item> flock </item>
614 <item> floor </item>
615 <item> flush </item>
616 <item> fopen </item>
617 <item> fpassthru </item>
618 <item> fputs </item>
619 <item> fread </item>
620 <item> frenchtojd </item>
621 <item> fribidi_log2vis </item>
622 <item> fscanf </item>
623 <item> fseek </item>
624 <item> fsockopen </item>
625 <item> fstat </item>
626 <item> ftell </item>
627 <item> ftok </item>
628 <item> ftp_cdup </item>
629 <item> ftp_chdir </item>
630 <item> ftp_close </item>
631 <item> ftp_connect </item>
632 <item> ftp_delete </item>
633 <item> ftp_exec </item>
634 <item> ftp_fget </item>
635 <item> ftp_fput </item>
636 <item> ftp_get </item>
637 <item> ftp_get_option </item>
638 <item> ftp_login </item>
639 <item> ftp_mdtm </item>
640 <item> ftp_mkdir </item>
641 <item> ftp_nlist </item>
642 <item> ftp_pasv </item>
643 <item> ftp_put </item>
644 <item> ftp_pwd </item>
645 <item> ftp_quit </item>
646 <item> ftp_rawlist </item>
647 <item> ftp_rename </item>
648 <item> ftp_rmdir </item>
649 <item> ftp_set_option </item>
650 <item> ftp_site </item>
651 <item> ftp_size </item>
652 <item> ftp_systype </item>
653 <item> ftruncate </item>
654 <item> func_get_arg </item>
655 <item> func_get_args </item>
656 <item> func_num_args </item>
657 <item> function_exists </item>
658 <item> fwrite </item>
659 <item> get_browser </item>
660 <item> get_cfg_var </item>
661 <item> get_class </item>
662 <item> get_class_methods </item>
663 <item> get_class_vars </item>
664 <item> get_current_user </item>
665 <item> get_declared_classes </item>
666 <item> get_defined_constants </item>
667 <item> get_defined_functions </item>
668 <item> get_defined_vars </item>
669 <item> get_extension_funcs </item>
670 <item> get_html_translation_table </item>
671 <item> get_included_files </item>
672 <item> get_loaded_extensions </item>
673 <item> get_magic_quotes_gpc </item>
674 <item> get_magic_quotes_runtime </item>
675 <item> get_meta_tags </item>
676 <item> get_object_vars </item>
677 <item> get_parent_class </item>
678 <item> get_required_files </item>
679 <item> get_resource_type </item>
680 <item> getallheaders </item>
681 <item> getcwd </item>
682 <item> getdate </item>
683 <item> getenv </item>
684 <item> gethostbyaddr </item>
685 <item> gethostbyname </item>
686 <item> gethostbynamel </item>
687 <item> getimagesize </item>
688 <item> getlastmod </item>
689 <item> getmxrr </item>
690 <item> getmygid </item>
691 <item> getmyinode </item>
692 <item> getmypid </item>
693 <item> getmyuid </item>
694 <item> getprotobyname </item>
695 <item> getprotobynumber </item>
696 <item> getrandmax </item>
697 <item> getrusage </item>
698 <item> getservbyname </item>
699 <item> getservbyport </item>
700 <item> gettext </item>
701 <item> gettimeofday </item>
702 <item> gettype </item>
703 <item> gmdate </item>
704 <item> gmmktime </item>
705 <item> gmp_abs </item>
706 <item> gmp_add </item>
707 <item> gmp_and </item>
708 <item> gmp_clrbit </item>
709 <item> gmp_cmp </item>
710 <item> gmp_com </item>
711 <item> gmp_div </item>
712 <item> gmp_div_q </item>
713 <item> gmp_div_qr </item>
714 <item> gmp_div_r </item>
715 <item> gmp_divexact </item>
716 <item> gmp_fact </item>
717 <item> gmp_gcd </item>
718 <item> gmp_gcdext </item>
719 <item> gmp_hamdist </item>
720 <item> gmp_init </item>
721 <item> gmp_intval </item>
722 <item> gmp_invert </item>
723 <item> gmp_jacobi </item>
724 <item> gmp_legendre </item>
725 <item> gmp_mod </item>
726 <item> gmp_mul </item>
727 <item> gmp_neg </item>
728 <item> gmp_or </item>
729 <item> gmp_perfect_square </item>
730 <item> gmp_popcount </item>
731 <item> gmp_pow </item>
732 <item> gmp_powm </item>
733 <item> gmp_prob_prime </item>
734 <item> gmp_random </item>
735 <item> gmp_scan0 </item>
736 <item> gmp_scan1 </item>
737 <item> gmp_setbit </item>
738 <item> gmp_sign </item>
739 <item> gmp_sqrt </item>
740 <item> gmp_sqrtrem </item>
741 <item> gmp_strval </item>
742 <item> gmp_sub </item>
743 <item> gmp_xor </item>
744 <item> gmstrftime </item>
745 <item> gregoriantojd </item>
746 <item> gzclose </item>
747 <item> gzcompress </item>
748 <item> gzdeflate </item>
749 <item> gzencode </item>
750 <item> gzeof </item>
751 <item> gzfile </item>
752 <item> gzgetc </item>
753 <item> gzgets </item>
754 <item> gzgetss </item>
755 <item> gzinflate </item>
756 <item> gzopen </item>
757 <item> gzpassthru </item>
758 <item> gzputs </item>
759 <item> gzread </item>
760 <item> gzrewind </item>
761 <item> gzseek </item>
762 <item> gztell </item>
763 <item> gzuncompress </item>
764 <item> gzwrite </item>
765 <item> header </item>
766 <item> headers_sent </item>
767 <item> hebrev </item>
768 <item> hebrevc </item>
769 <item> hexdec </item>
770 <item> highlight_file </item>
771 <item> highlight_string </item>
772 <item> htmlentities </item>
773 <item> htmlspecialchars </item>
774 <item> hw_array2objrec </item>
775 <item> hw_changeobject </item>
776 <item> hw_children </item>
777 <item> hw_childrenobj </item>
778 <item> hw_close </item>
779 <item> hw_connect </item>
780 <item> hw_connection_info </item>
781 <item> hw_cp </item>
782 <item> hw_deleteobject </item>
783 <item> hw_docbyanchor </item>
784 <item> hw_docbyanchorobj </item>
785 <item> hw_document_attributes </item>
786 <item> hw_document_bodytag </item>
787 <item> hw_document_content </item>
788 <item> hw_document_setcontent </item>
789 <item> hw_document_size </item>
790 <item> hw_dummy </item>
791 <item> hw_edittext </item>
792 <item> hw_error </item>
793 <item> hw_errormsg </item>
794 <item> hw_free_document </item>
795 <item> hw_getanchors </item>
796 <item> hw_getanchorsobj </item>
797 <item> hw_getandlock </item>
798 <item> hw_getchildcoll </item>
799 <item> hw_getchildcollobj </item>
800 <item> hw_getchilddoccoll </item>
801 <item> hw_getchilddoccollobj </item>
802 <item> hw_getobject </item>
803 <item> hw_getobjectbyquery </item>
804 <item> hw_getobjectbyquerycoll </item>
805 <item> hw_getobjectbyquerycollobj </item>
806 <item> hw_getobjectbyqueryobj </item>
807 <item> hw_getparents </item>
808 <item> hw_getparentsobj </item>
809 <item> hw_getrellink </item>
810 <item> hw_getremote </item>
811 <item> hw_getremotechildren </item>
812 <item> hw_getsrcbydestobj </item>
813 <item> hw_gettext </item>
814 <item> hw_getusername </item>
815 <item> hw_identify </item>
816 <item> hw_incollections </item>
817 <item> hw_info </item>
818 <item> hw_inscoll </item>
819 <item> hw_insdoc </item>
820 <item> hw_insertanchors </item>
821 <item> hw_insertdocument </item>
822 <item> hw_insertobject </item>
823 <item> hw_mapid </item>
824 <item> hw_modifyobject </item>
825 <item> hw_mv </item>
826 <item> hw_new_document </item>
827 <item> hw_objrec2array </item>
828 <item> hw_output_document </item>
829 <item> hw_pconnect </item>
830 <item> hw_pipedocument </item>
831 <item> hw_root </item>
832 <item> hw_setlinkroot </item>
833 <item> hw_stat </item>
834 <item> hw_unlock </item>
835 <item> hw_who </item>
836 <item> hypot </item>
837 <item> ibase_blob_add </item>
838 <item> ibase_blob_cancel </item>
839 <item> ibase_blob_close </item>
840 <item> ibase_blob_create </item>
841 <item> ibase_blob_echo </item>
842 <item> ibase_blob_get </item>
843 <item> ibase_blob_import </item>
844 <item> ibase_blob_info </item>
845 <item> ibase_blob_open </item>
846 <item> ibase_close </item>
847 <item> ibase_commit </item>
848 <item> ibase_connect </item>
849 <item> ibase_errmsg </item>
850 <item> ibase_execute </item>
851 <item> ibase_fetch_object </item>
852 <item> ibase_fetch_row </item>
853 <item> ibase_field_info </item>
854 <item> ibase_free_query </item>
855 <item> ibase_free_result </item>
856 <item> ibase_num_fields </item>
857 <item> ibase_pconnect </item>
858 <item> ibase_prepare </item>
859 <item> ibase_query </item>
860 <item> ibase_rollback </item>
861 <item> ibase_timefmt </item>
862 <item> ibase_trans </item>
863 <item> icap_close </item>
864 <item> icap_create_calendar </item>
865 <item> icap_delete_calendar </item>
866 <item> icap_delete_event </item>
867 <item> icap_fetch_event </item>
868 <item> icap_list_alarms </item>
869 <item> icap_list_events </item>
870 <item> icap_open </item>
871 <item> icap_rename_calendar </item>
872 <item> icap_reopen </item>
873 <item> icap_snooze </item>
874 <item> icap_store_event </item>
875 <item> iconv </item>
876 <item> iconv_get_encoding </item>
877 <item> iconv_set_encoding </item>
878 <item> ifx_affected_rows </item>
879 <item> ifx_blobinfile_mode </item>
880 <item> ifx_byteasvarchar </item>
881 <item> ifx_close </item>
882 <item> ifx_connect </item>
883 <item> ifx_copy_blob </item>
884 <item> ifx_create_blob </item>
885 <item> ifx_create_char </item>
886 <item> ifx_do </item>
887 <item> ifx_error </item>
888 <item> ifx_errormsg </item>
889 <item> ifx_fetch_row </item>
890 <item> ifx_fieldproperties </item>
891 <item> ifx_fieldtypes </item>
892 <item> ifx_free_blob </item>
893 <item> ifx_free_char </item>
894 <item> ifx_free_result </item>
895 <item> ifx_get_blob </item>
896 <item> ifx_get_char </item>
897 <item> ifx_getsqlca </item>
898 <item> ifx_htmltbl_result </item>
899 <item> ifx_nullformat </item>
900 <item> ifx_num_fields </item>
901 <item> ifx_num_rows </item>
902 <item> ifx_pconnect </item>
903 <item> ifx_prepare </item>
904 <item> ifx_query </item>
905 <item> ifx_textasvarchar </item>
906 <item> ifx_update_blob </item>
907 <item> ifx_update_char </item>
908 <item> ifxus_close_slob </item>
909 <item> ifxus_create_slob </item>
910 <item> ifxus_free_slob </item>
911 <item> ifxus_open_slob </item>
912 <item> ifxus_read_slob </item>
913 <item> ifxus_seek_slob </item>
914 <item> ifxus_tell_slob </item>
915 <item> ifxus_write_slob </item>
916 <item> ignore_user_abort </item>
917 <item> image2wbmp </item>
918 <item> imagealphablending </item>
919 <item> imagearc </item>
920 <item> imagechar </item>
921 <item> imagecharup </item>
922 <item> imagecolorallocate </item>
923 <item> imagecolorat </item>
924 <item> imagecolorclosest </item>
925 <item> imagecolorclosestalpha </item>
926 <item> imagecolorclosesthwb </item>
927 <item> imagecolordeallocate </item>
928 <item> imagecolorexact </item>
929 <item> imagecolorexactalpha </item>
930 <item> imagecolorresolve </item>
931 <item> imagecolorresolvealpha </item>
932 <item> imagecolorset </item>
933 <item> imagecolorsforindex </item>
934 <item> imagecolorstotal </item>
935 <item> imagecolortransparent </item>
936 <item> imagecopy </item>
937 <item> imagecopymerge </item>
938 <item> imagecopymergegray </item>
939 <item> imagecopyresampled </item>
940 <item> imagecopyresized </item>
941 <item> imagecreate </item>
942 <item> imagecreatefromgd </item>
943 <item> imagecreatefromgd2 </item>
944 <item> imagecreatefromgd2part </item>
945 <item> imagecreatefromgif </item>
946 <item> imagecreatefromjpeg </item>
947 <item> imagecreatefrompng </item>
948 <item> imagecreatefromstring </item>
949 <item> imagecreatefromwbmp </item>
950 <item> imagecreatefromxbm </item>
951 <item> imagecreatefromxpm </item>
952 <item> imagecreatetruecolor </item>
953 <item> imagedashedline </item>
954 <item> imagedestroy </item>
955 <item> imageellipse </item>
956 <item> imagefill </item>
957 <item> imagefilledarc </item>
958 <item> imagefilledellipse </item>
959 <item> imagefilledpolygon </item>
960 <item> imagefilledrectangle </item>
961 <item> imagefilltoborder </item>
962 <item> imagefontheight </item>
963 <item> imagefontwidth </item>
964 <item> imageftbbox </item>
965 <item> imagefttext </item>
966 <item> imagegammacorrect </item>
967 <item> imagegd </item>
968 <item> imagegd2 </item>
969 <item> imagegif </item>
970 <item> imageinterlace </item>
971 <item> imagejpeg </item>
972 <item> imageline </item>
973 <item> imageloadfont </item>
974 <item> imagepalettecopy </item>
975 <item> imagepng </item>
976 <item> imagepolygon </item>
977 <item> imagepsbbox </item>
978 <item> imagepsencodefont </item>
979 <item> imagepsextendfont </item>
980 <item> imagepsfreefont </item>
981 <item> imagepsloadfont </item>
982 <item> imagepsslantfont </item>
983 <item> imagepstext </item>
984 <item> imagerectangle </item>
985 <item> imagesetbrush </item>
986 <item> imagesetpixel </item>
987 <item> imagesetstyle </item>
988 <item> imagesetthickness </item>
989 <item> imagesettile </item>
990 <item> imagestring </item>
991 <item> imagestringup </item>
992 <item> imagesx </item>
993 <item> imagesy </item>
994 <item> imagetruecolortopalette </item>
995 <item> imagettfbbox </item>
996 <item> imagettftext </item>
997 <item> imagetypes </item>
998 <item> imagewbmp </item>
999 <item> imap_8bit </item>
1000 <item> imap_alerts </item>
1001 <item> imap_append </item>
1002 <item> imap_base64 </item>
1003 <item> imap_binary </item>
1004 <item> imap_body </item>
1005 <item> imap_bodystruct </item>
1006 <item> imap_check </item>
1007 <item> imap_clearflag_full </item>
1008 <item> imap_close </item>
1009 <item> imap_createmailbox </item>
1010 <item> imap_delete </item>
1011 <item> imap_deletemailbox </item>
1012 <item> imap_errors </item>
1013 <item> imap_expunge </item>
1014 <item> imap_fetch_overview </item>
1015 <item> imap_fetchbody </item>
1016 <item> imap_fetchheader </item>
1017 <item> imap_fetchstructure </item>
1018 <item> imap_get_quota </item>
1019 <item> imap_getmailboxes </item>
1020 <item> imap_getsubscribed </item>
1021 <item> imap_header </item>
1022 <item> imap_headerinfo </item>
1023 <item> imap_headers </item>
1024 <item> imap_last_error </item>
1025 <item> imap_listmailbox </item>
1026 <item> imap_listsubscribed </item>
1027 <item> imap_mail </item>
1028 <item> imap_mail_compose </item>
1029 <item> imap_mail_copy </item>
1030 <item> imap_mail_move </item>
1031 <item> imap_mailboxmsginfo </item>
1032 <item> imap_mime_header_decode </item>
1033 <item> imap_msgno </item>
1034 <item> imap_num_msg </item>
1035 <item> imap_num_recent </item>
1036 <item> imap_open </item>
1037 <item> imap_ping </item>
1038 <item> imap_popen </item>
1039 <item> imap_qprint </item>
1040 <item> imap_renamemailbox </item>
1041 <item> imap_reopen </item>
1042 <item> imap_rfc822_parse_adrlist </item>
1043 <item> imap_rfc822_parse_headers </item>
1044 <item> imap_rfc822_write_address </item>
1045 <item> imap_scanmailbox </item>
1046 <item> imap_search </item>
1047 <item> imap_set_quota </item>
1048 <item> imap_setacl </item>
1049 <item> imap_setflag_full </item>
1050 <item> imap_sort </item>
1051 <item> imap_status </item>
1052 <item> imap_subscribe </item>
1053 <item> imap_thread </item>
1054 <item> imap_uid </item>
1055 <item> imap_undelete </item>
1056 <item> imap_unsubscribe </item>
1057 <item> imap_utf7_decode </item>
1058 <item> imap_utf7_encode </item>
1059 <item> imap_utf8 </item>
1060 <item> implode </item>
1061 <item> import_request_variables </item>
1062 <item> in_array </item>
1063 <item> include </item>
1064 <item> include_once </item>
1065 <item> ingres_autocommit </item>
1066 <item> ingres_close </item>
1067 <item> ingres_commit </item>
1068 <item> ingres_connect </item>
1069 <item> ingres_fetch_array </item>
1070 <item> ingres_fetch_object </item>
1071 <item> ingres_fetch_row </item>
1072 <item> ingres_field_length </item>
1073 <item> ingres_field_name </item>
1074 <item> ingres_field_nullable </item>
1075 <item> ingres_field_precision </item>
1076 <item> ingres_field_scale </item>
1077 <item> ingres_field_type </item>
1078 <item> ingres_num_fields </item>
1079 <item> ingres_num_rows </item>
1080 <item> ingres_pconnect </item>
1081 <item> ingres_query </item>
1082 <item> ingres_rollback </item>
1083 <item> ini_alter </item>
1084 <item> ini_get </item>
1085 <item> ini_get_all </item>
1086 <item> ini_restore </item>
1087 <item> ini_set </item>
1088 <item> intval </item>
1089 <item> ip2long </item>
1090 <item> iptcembed </item>
1091 <item> iptcparse </item>
1092 <item> ircg_channel_mode </item>
1093 <item> ircg_disconnect </item>
1094 <item> ircg_fetch_error_msg </item>
1095 <item> ircg_get_username </item>
1096 <item> ircg_html_encode </item>
1097 <item> ircg_ignore_add </item>
1098 <item> ircg_ignore_del </item>
1099 <item> ircg_is_conn_alive </item>
1100 <item> ircg_join </item>
1101 <item> ircg_kick </item>
1102 <item> ircg_lookup_format_messages </item>
1103 <item> ircg_msg </item>
1104 <item> ircg_nick </item>
1105 <item> ircg_nickname_escape </item>
1106 <item> ircg_nickname_unescape </item>
1107 <item> ircg_notice </item>
1108 <item> ircg_part </item>
1109 <item> ircg_pconnect </item>
1110 <item> ircg_register_format_messages </item>
1111 <item> ircg_set_current </item>
1112 <item> ircg_set_file </item>
1113 <item> ircg_set_on_die </item>
1114 <item> ircg_topic </item>
1115 <item> ircg_whois </item>
1116 <item> is_a </item>
1117 <item> is_array </item>
1118 <item> is_bool </item>
1119 <item> is_callable </item>
1120 <item> is_dir </item>
1121 <item> is_double </item>
1122 <item> is_executable </item>
1123 <item> is_file </item>
1124 <item> is_finite </item>
1125 <item> is_float </item>
1126 <item> is_infinite </item>
1127 <item> is_int </item>
1128 <item> is_integer </item>
1129 <item> is_link </item>
1130 <item> is_long </item>
1131 <item> is_nan </item>
1132 <item> is_null </item>
1133 <item> is_numeric </item>
1134 <item> is_object </item>
1135 <item> is_readable </item>
1136 <item> is_real </item>
1137 <item> is_resource </item>
1138 <item> is_scalar </item>
1139 <item> is_string </item>
1140 <item> is_subclass_of </item>
1141 <item> is_uploaded_file </item>
1142 <item> is_writable </item>
1143 <item> is_writeable </item>
1144 <item> isset </item>
1145 <item> java_last_exception_clear </item>
1146 <item> java_last_exception_get </item>
1147 <item> jddayofweek </item>
1148 <item> jdmonthname </item>
1149 <item> jdtofrench </item>
1150 <item> jdtogregorian </item>
1151 <item> jdtojewish </item>
1152 <item> jdtojulian </item>
1153 <item> jdtounix </item>
1154 <item> jewishtojd </item>
1155 <item> join </item>
1156 <item> jpeg2wbmp </item>
1157 <item> juliantojd </item>
1158 <item> key </item>
1159 <item> krsort </item>
1160 <item> ksort </item>
1161 <item> lcg_value </item>
1162 <item> ldap_8859_to_t61 </item>
1163 <item> ldap_add </item>
1164 <item> ldap_bind </item>
1165 <item> ldap_close </item>
1166 <item> ldap_compare </item>
1167 <item> ldap_connect </item>
1168 <item> ldap_count_entries </item>
1169 <item> ldap_delete </item>
1170 <item> ldap_dn2ufn </item>
1171 <item> ldap_err2str </item>
1172 <item> ldap_errno </item>
1173 <item> ldap_error </item>
1174 <item> ldap_explode_dn </item>
1175 <item> ldap_first_attribute </item>
1176 <item> ldap_first_entry </item>
1177 <item> ldap_first_reference </item>
1178 <item> ldap_free_result </item>
1179 <item> ldap_get_attributes </item>
1180 <item> ldap_get_dn </item>
1181 <item> ldap_get_entries </item>
1182 <item> ldap_get_option </item>
1183 <item> ldap_get_values </item>
1184 <item> ldap_get_values_len </item>
1185 <item> ldap_list </item>
1186 <item> ldap_mod_add </item>
1187 <item> ldap_mod_del </item>
1188 <item> ldap_mod_replace </item>
1189 <item> ldap_modify </item>
1190 <item> ldap_next_attribute </item>
1191 <item> ldap_next_entry </item>
1192 <item> ldap_next_reference </item>
1193 <item> ldap_parse_reference </item>
1194 <item> ldap_parse_result </item>
1195 <item> ldap_read </item>
1196 <item> ldap_rename </item>
1197 <item> ldap_search </item>
1198 <item> ldap_set_option </item>
1199 <item> ldap_set_rebind_proc </item>
1200 <item> ldap_sort </item>
1201 <item> ldap_start_tls </item>
1202 <item> ldap_t61_to_8859 </item>
1203 <item> ldap_unbind </item>
1204 <item> leak </item>
1205 <item> levenshtein </item>
1206 <item> link </item>
1207 <item> linkinfo </item>
1208 <item> list </item>
1209 <item> localeconv </item>
1210 <item> localtime </item>
1211 <item> log </item>
1212 <item> log10 </item>
1213 <item> log1p </item>
1214 <item> long2ip </item>
1215 <item> lstat </item>
1216 <item> ltrim </item>
1217 <item> mail </item>
1218 <item> mailparse_determine_best_xfer_encoding </item>
1219 <item> mailparse_msg_create </item>
1220 <item> mailparse_msg_extract_part </item>
1221 <item> mailparse_msg_extract_part_file </item>
1222 <item> mailparse_msg_free </item>
1223 <item> mailparse_msg_get_part </item>
1224 <item> mailparse_msg_get_part_data </item>
1225 <item> mailparse_msg_get_structure </item>
1226 <item> mailparse_msg_parse </item>
1227 <item> mailparse_msg_parse_file </item>
1228 <item> mailparse_rfc822_parse_addresses </item>
1229 <item> mailparse_stream_encode </item>
1230 <item> mailparse_uudecode_all </item>
1231 <item> max </item>
1232 <item> mb_convert_encoding </item>
1233 <item> mb_convert_kana </item>
1234 <item> mb_convert_variables </item>
1235 <item> mb_decode_mimeheader </item>
1236 <item> mb_decode_numericentity </item>
1237 <item> mb_detect_encoding </item>
1238 <item> mb_detect_order </item>
1239 <item> mb_encode_mimeheader </item>
1240 <item> mb_encode_numericentity </item>
1241 <item> mb_ereg </item>
1242 <item> mb_ereg_match </item>
1243 <item> mb_ereg_replace </item>
1244 <item> mb_ereg_search </item>
1245 <item> mb_ereg_search_getpos </item>
1246 <item> mb_ereg_search_getregs </item>
1247 <item> mb_ereg_search_init </item>
1248 <item> mb_ereg_search_pos </item>
1249 <item> mb_ereg_search_regs </item>
1250 <item> mb_ereg_search_setpos </item>
1251 <item> mb_eregi </item>
1252 <item> mb_eregi_replace </item>
1253 <item> mb_get_info </item>
1254 <item> mb_http_input </item>
1255 <item> mb_http_output </item>
1256 <item> mb_internal_encoding </item>
1257 <item> mb_language </item>
1258 <item> mb_output_handler </item>
1259 <item> mb_parse_str </item>
1260 <item> mb_preferred_mime_name </item>
1261 <item> mb_regex_encoding </item>
1262 <item> mb_send_mail </item>
1263 <item> mb_split </item>
1264 <item> mb_strcut </item>
1265 <item> mb_strimwidth </item>
1266 <item> mb_strlen </item>
1267 <item> mb_strpos </item>
1268 <item> mb_strrpos </item>
1269 <item> mb_strwidth </item>
1270 <item> mb_substitute_character </item>
1271 <item> mb_substr </item>
1272 <item> mcal_append_event </item>
1273 <item> mcal_close </item>
1274 <item> mcal_create_calendar </item>
1275 <item> mcal_date_compare </item>
1276 <item> mcal_date_valid </item>
1277 <item> mcal_day_of_week </item>
1278 <item> mcal_day_of_year </item>
1279 <item> mcal_days_in_month </item>
1280 <item> mcal_delete_calendar </item>
1281 <item> mcal_delete_event </item>
1282 <item> mcal_event_add_attribute </item>
1283 <item> mcal_event_init </item>
1284 <item> mcal_event_set_alarm </item>
1285 <item> mcal_event_set_category </item>
1286 <item> mcal_event_set_class </item>
1287 <item> mcal_event_set_description </item>
1288 <item> mcal_event_set_end </item>
1289 <item> mcal_event_set_recur_daily </item>
1290 <item> mcal_event_set_recur_monthly_mday </item>
1291 <item> mcal_event_set_recur_monthly_wday </item>
1292 <item> mcal_event_set_recur_none </item>
1293 <item> mcal_event_set_recur_weekly </item>
1294 <item> mcal_event_set_recur_yearly </item>
1295 <item> mcal_event_set_start </item>
1296 <item> mcal_event_set_title </item>
1297 <item> mcal_expunge </item>
1298 <item> mcal_fetch_current_stream_event </item>
1299 <item> mcal_fetch_event </item>
1300 <item> mcal_is_leap_year </item>
1301 <item> mcal_list_alarms </item>
1302 <item> mcal_list_events </item>
1303 <item> mcal_next_recurrence </item>
1304 <item> mcal_open </item>
1305 <item> mcal_popen </item>
1306 <item> mcal_rename_calendar </item>
1307 <item> mcal_reopen </item>
1308 <item> mcal_snooze </item>
1309 <item> mcal_store_event </item>
1310 <item> mcal_time_valid </item>
1311 <item> mcal_week_of_year </item>
1312 <item> mcrypt_cbc </item>
1313 <item> mcrypt_cfb </item>
1314 <item> mcrypt_create_iv </item>
1315 <item> mcrypt_decrypt </item>
1316 <item> mcrypt_ecb </item>
1317 <item> mcrypt_enc_get_algorithms_name </item>
1318 <item> mcrypt_enc_get_block_size </item>
1319 <item> mcrypt_enc_get_iv_size </item>
1320 <item> mcrypt_enc_get_key_size </item>
1321 <item> mcrypt_enc_get_modes_name </item>
1322 <item> mcrypt_enc_get_supported_key_sizes </item>
1323 <item> mcrypt_enc_is_block_algorithm </item>
1324 <item> mcrypt_enc_is_block_algorithm_mode </item>
1325 <item> mcrypt_enc_is_block_mode </item>
1326 <item> mcrypt_enc_self_test </item>
1327 <item> mcrypt_encrypt </item>
1328 <item> mcrypt_generic </item>
1329 <item> mcrypt_generic_deinit </item>
1330 <item> mcrypt_generic_end </item>
1331 <item> mcrypt_generic_init </item>
1332 <item> mcrypt_get_block_size </item>
1333 <item> mcrypt_get_cipher_name </item>
1334 <item> mcrypt_get_iv_size </item>
1335 <item> mcrypt_get_key_size </item>
1336 <item> mcrypt_list_algorithms </item>
1337 <item> mcrypt_list_modes </item>
1338 <item> mcrypt_module_close </item>
1339 <item> mcrypt_module_get_algo_block_size </item>
1340 <item> mcrypt_module_get_algo_key_size </item>
1341 <item> mcrypt_module_get_supported_key_sizes </item>
1342 <item> mcrypt_module_is_block_algorithm </item>
1343 <item> mcrypt_module_is_block_algorithm_mode </item>
1344 <item> mcrypt_module_is_block_mode </item>
1345 <item> mcrypt_module_open </item>
1346 <item> mcrypt_module_self_test </item>
1347 <item> mcrypt_ofb </item>
1348 <item> md5 </item>
1349 <item> md5_file </item>
1350 <item> mdecrypt_generic </item>
1351 <item> metaphone </item>
1352 <item> method_exists </item>
1353 <item> mhash </item>
1354 <item> mhash_count </item>
1355 <item> mhash_get_block_size </item>
1356 <item> mhash_get_hash_name </item>
1357 <item> mhash_keygen_s2k </item>
1358 <item> microtime </item>
1359 <item> min </item>
1360 <item> ming_setcubicthreshold </item>
1361 <item> ming_setscale </item>
1362 <item> ming_useswfversion </item>
1363 <item> mkdir </item>
1364 <item> mktime </item>
1365 <item> move_uploaded_file </item>
1366 <item> msession_connect </item>
1367 <item> msession_count </item>
1368 <item> msession_create </item>
1369 <item> msession_destroy </item>
1370 <item> msession_disconnect </item>
1371 <item> msession_find </item>
1372 <item> msession_get </item>
1373 <item> msession_get_array </item>
1374 <item> msession_getdata </item>
1375 <item> msession_inc </item>
1376 <item> msession_list </item>
1377 <item> msession_listvar </item>
1378 <item> msession_lock </item>
1379 <item> msession_plugin </item>
1380 <item> msession_randstr </item>
1381 <item> msession_set </item>
1382 <item> msession_set_array </item>
1383 <item> msession_setdata </item>
1384 <item> msession_timeout </item>
1385 <item> msession_uniq </item>
1386 <item> msession_unlock </item>
1387 <item> msql </item>
1388 <item> msql_affected_rows </item>
1389 <item> msql_close </item>
1390 <item> msql_connect </item>
1391 <item> msql_create_db </item>
1392 <item> msql_createdb </item>
1393 <item> msql_data_seek </item>
1394 <item> msql_dbname </item>
1395 <item> msql_drop_db </item>
1396 <item> msql_dropdb </item>
1397 <item> </item>
1398 <item>msql_error </item>
1399 <item> msql_fetch_array </item>
1400 <item> msql_fetch_field </item>
1401 <item> msql_fetch_object </item>
1402 <item> msql_fetch_row </item>
1403 <item> msql_field_seek </item>
1404 <item> msql_fieldflags </item>
1405 <item> msql_fieldlen </item>
1406 <item> msql_fieldname </item>
1407 <item> msql_fieldtable </item>
1408 <item> msql_fieldtype </item>
1409 <item> msql_free_result </item>
1410 <item> msql_freeresult </item>
1411 <item> msql_list_dbs </item>
1412 <item> msql_list_fields </item>
1413 <item> msql_list_tables </item>
1414 <item> msql_listdbs </item>
1415 <item> msql_listfields </item>
1416 <item> msql_listtables </item>
1417 <item> msql_num_fields </item>
1418 <item> msql_num_rows </item>
1419 <item> msql_numfields </item>
1420 <item> msql_numrows </item>
1421 <item> msql_pconnect </item>
1422 <item> msql_query </item>
1423 <item> msql_regcase </item>
1424 <item> msql_result </item>
1425 <item> msql_select_db </item>
1426 <item> msql_selectdb </item>
1427 <item> msql_tablename </item>
1428 <item> mssql_bind </item>
1429 <item> mssql_close </item>
1430 <item> mssql_connect </item>
1431 <item> mssql_data_seek </item>
1432 <item> mssql_execute </item>
1433 <item> mssql_fetch_array </item>
1434 <item> mssql_fetch_assoc </item>
1435 <item> mssql_fetch_batch </item>
1436 <item> mssql_fetch_field </item>
1437 <item> mssql_fetch_object </item>
1438 <item> mssql_fetch_row </item>
1439 <item> mssql_field_length </item>
1440 <item> mssql_field_name </item>
1441 <item> mssql_field_seek </item>
1442 <item> mssql_field_type </item>
1443 <item> mssql_free_result </item>
1444 <item> mssql_get_last_message </item>
1445 <item> mssql_guid_string </item>
1446 <item> mssql_init </item>
1447 <item> mssql_min_error_severity </item>
1448 <item> mssql_min_message_severity </item>
1449 <item> mssql_next_result </item>
1450 <item> mssql_num_fields </item>
1451 <item> mssql_num_rows </item>
1452 <item> mssql_pconnect </item>
1453 <item> mssql_query </item>
1454 <item> mssql_result </item>
1455 <item> mssql_rows_affected </item>
1456 <item> mssql_select_db </item>
1457 <item> mt_getrandmax </item>
1458 <item> mt_rand </item>
1459 <item> mt_srand </item>
1460 <item> muscat_close </item>
1461 <item> muscat_get </item>
1462 <item> muscat_give </item>
1463 <item> muscat_setup </item>
1464 <item> muscat_setup_net </item>
1465 <item> mysql_affected_rows </item>
1466 <item> mysql_change_user </item>
1467 <item> mysql_character_set_name </item>
1468 <item> mysql_close </item>
1469 <item> mysql_connect </item>
1470 <item> mysql_create_db </item>
1471 <item> mysql_data_seek </item>
1472 <item> mysql_db_name </item>
1473 <item> mysql_db_query </item>
1474 <item> mysql_drop_db </item>
1475 <item> mysql_errno </item>
1476 <item> mysql_error </item>
1477 <item> mysql_escape_string </item>
1478 <item> mysql_fetch_array </item>
1479 <item> mysql_fetch_assoc </item>
1480 <item> mysql_fetch_field </item>
1481 <item> mysql_fetch_lengths </item>
1482 <item> mysql_fetch_object </item>
1483 <item> mysql_fetch_row </item>
1484 <item> mysql_field_flags </item>
1485 <item> mysql_field_len </item>
1486 <item> mysql_field_name </item>
1487 <item> mysql_field_seek </item>
1488 <item> mysql_field_table </item>
1489 <item> mysql_field_type </item>
1490 <item> mysql_free_result </item>
1491 <item> mysql_get_client_info </item>
1492 <item> mysql_get_host_info </item>
1493 <item> mysql_get_proto_info </item>
1494 <item> mysql_get_server_info </item>
1495 <item> mysql_info </item>
1496 <item> mysql_insert_id </item>
1497 <item> mysql_list_dbs </item>
1498 <item> mysql_list_fields </item>
1499 <item> mysql_list_processes </item>
1500 <item> mysql_list_tables </item>
1501 <item> mysql_num_fields </item>
1502 <item> mysql_num_rows </item>
1503 <item> mysql_pconnect </item>
1504 <item> mysql_ping </item>
1505 <item> mysql_query </item>
1506 <item> mysql_real_escape_string </item>
1507 <item> mysql_result </item>
1508 <item> mysql_select_db </item>
1509 <item> mysql_stat </item>
1510 <item> mysql_tablename </item>
1511 <item> mysql_thread_id </item>
1512 <item> mysql_unbuffered_query </item>
1513 <item> natcasesort </item>
1514 <item> natsort </item>
1515 <item> ncurses_addch </item>
1516 <item> ncurses_addchnstr </item>
1517 <item> ncurses_addchstr </item>
1518 <item> ncurses_addnstr </item>
1519 <item> ncurses_addstr </item>
1520 <item> ncurses_assume_default_colors </item>
1521 <item> ncurses_attroff </item>
1522 <item> ncurses_attron </item>
1523 <item> ncurses_attrset </item>
1524 <item> ncurses_baudrate </item>
1525 <item> ncurses_beep </item>
1526 <item> ncurses_bkgd </item>
1527 <item> ncurses_bkgdset </item>
1528 <item> ncurses_border </item>
1529 <item> ncurses_can_change_color </item>
1530 <item> ncurses_cbreak </item>
1531 <item> ncurses_clear </item>
1532 <item> ncurses_clrtobot </item>
1533 <item> ncurses_clrtoeol </item>
1534 <item> ncurses_color_set </item>
1535 <item> ncurses_curs_set </item>
1536 <item> ncurses_def_prog_mode </item>
1537 <item> ncurses_def_shell_mode </item>
1538 <item> ncurses_define_key </item>
1539 <item> ncurses_delay_output </item>
1540 <item> ncurses_delch </item>
1541 <item> ncurses_deleteln </item>
1542 <item> ncurses_delwin </item>
1543 <item> ncurses_doupdate </item>
1544 <item> ncurses_echo </item>
1545 <item> ncurses_echochar </item>
1546 <item> ncurses_end </item>
1547 <item> ncurses_erase </item>
1548 <item> ncurses_erasechar </item>
1549 <item> ncurses_filter </item>
1550 <item> ncurses_flash </item>
1551 <item> ncurses_flushinp </item>
1552 <item> ncurses_getch </item>
1553 <item> ncurses_getmouse </item>
1554 <item> ncurses_halfdelay </item>
1555 <item> ncurses_has_colors </item>
1556 <item> ncurses_has_ic </item>
1557 <item> ncurses_has_il </item>
1558 <item> ncurses_has_key </item>
1559 <item> ncurses_hline </item>
1560 <item> ncurses_inch </item>
1561 <item> ncurses_init </item>
1562 <item> ncurses_init_color </item>
1563 <item> ncurses_init_pair </item>
1564 <item> ncurses_insch </item>
1565 <item> ncurses_insdelln </item>
1566 <item> ncurses_insertln </item>
1567 <item> ncurses_insstr </item>
1568 <item> ncurses_instr </item>
1569 <item> ncurses_isendwin </item>
1570 <item> ncurses_keyok </item>
1571 <item> ncurses_killchar </item>
1572 <item> ncurses_longname </item>
1573 <item> ncurses_mouseinterval </item>
1574 <item> ncurses_mousemask </item>
1575 <item> ncurses_move </item>
1576 <item> ncurses_mvaddch </item>
1577 <item> ncurses_mvaddchnstr </item>
1578 <item> ncurses_mvaddchstr </item>
1579 <item> ncurses_mvaddnstr </item>
1580 <item> ncurses_mvaddstr </item>
1581 <item> ncurses_mvcur </item>
1582 <item> ncurses_mvdelch </item>
1583 <item> ncurses_mvgetch </item>
1584 <item> ncurses_mvhline </item>
1585 <item> ncurses_mvinch </item>
1586 <item> ncurses_mvvline </item>
1587 <item> ncurses_mvwaddstr </item>
1588 <item> ncurses_napms </item>
1589 <item> ncurses_newwin </item>
1590 <item> ncurses_nl </item>
1591 <item> ncurses_nocbreak </item>
1592 <item> ncurses_noecho </item>
1593 <item> ncurses_nonl </item>
1594 <item> ncurses_noqiflush </item>
1595 <item> ncurses_noraw </item>
1596 <item> ncurses_putp </item>
1597 <item> ncurses_qiflush </item>
1598 <item> ncurses_raw </item>
1599 <item> ncurses_refresh </item>
1600 <item> ncurses_resetty </item>
1601 <item> ncurses_savetty </item>
1602 <item> ncurses_scr_dump </item>
1603 <item> ncurses_scr_init </item>
1604 <item> ncurses_scr_restore </item>
1605 <item> ncurses_scr_set </item>
1606 <item> ncurses_scrl </item>
1607 <item> ncurses_slk_attr </item>
1608 <item> ncurses_slk_attroff </item>
1609 <item> ncurses_slk_attron </item>
1610 <item> ncurses_slk_attrset </item>
1611 <item> ncurses_slk_clear </item>
1612 <item> ncurses_slk_color </item>
1613 <item> ncurses_slk_init </item>
1614 <item> ncurses_slk_noutrefresh </item>
1615 <item> ncurses_slk_refresh </item>
1616 <item> ncurses_slk_restore </item>
1617 <item> ncurses_slk_touch </item>
1618 <item> ncurses_standend </item>
1619 <item> ncurses_standout </item>
1620 <item> ncurses_start_color </item>
1621 <item> ncurses_termattrs </item>
1622 <item> ncurses_termname </item>
1623 <item> ncurses_timeout </item>
1624 <item> ncurses_typeahead </item>
1625 <item> ncurses_ungetch </item>
1626 <item> ncurses_ungetmouse </item>
1627 <item> ncurses_use_default_colors </item>
1628 <item> ncurses_use_env </item>
1629 <item> ncurses_use_extended_names </item>
1630 <item> ncurses_vidattr </item>
1631 <item> ncurses_vline </item>
1632 <item> ncurses_wrefresh </item>
1633 <item> next </item>
1634 <item> ngettext </item>
1635 <item> nl2br </item>
1636 <item> nl_langinfo </item>
1637 <item> notes_body </item>
1638 <item> notes_copy_db </item>
1639 <item> notes_create_db </item>
1640 <item> notes_create_note </item>
1641 <item> notes_drop_db </item>
1642 <item> notes_find_note </item>
1643 <item> notes_header_info </item>
1644 <item> notes_list_msgs </item>
1645 <item> notes_mark_read </item>
1646 <item> notes_mark_unread </item>
1647 <item> notes_nav_create </item>
1648 <item> notes_search </item>
1649 <item> notes_unread </item>
1650 <item> notes_version </item>
1651 <item> number_format </item>
1652 <item> ob_clean </item>
1653 <item> ob_end_clean </item>
1654 <item> ob_end_flush </item>
1655 <item> ob_flush </item>
1656 <item> ob_get_contents </item>
1657 <item> ob_get_length </item>
1658 <item> ob_get_level </item>
1659 <item> ob_gzhandler </item>
1660 <item> ob_iconv_handler </item>
1661 <item> ob_implicit_flush </item>
1662 <item> ob_start </item>
1663 <item> ocibindbyname </item>
1664 <item> ocicancel </item>
1665 <item> ocicollappend </item>
1666 <item> ocicollassign </item>
1667 <item> ocicollassignelem </item>
1668 <item> ocicollgetelem </item>
1669 <item> ocicollmax </item>
1670 <item> ocicollsize </item>
1671 <item> ocicolltrim </item>
1672 <item> ocicolumnisnull </item>
1673 <item> ocicolumnname </item>
1674 <item> ocicolumnprecision </item>
1675 <item> ocicolumnscale </item>
1676 <item> ocicolumnsize </item>
1677 <item> ocicolumntype </item>
1678 <item> ocicolumntyperaw </item>
1679 <item> ocicommit </item>
1680 <item> ocidefinebyname </item>
1681 <item> ocierror </item>
1682 <item> ociexecute </item>
1683 <item> ocifetch </item>
1684 <item> ocifetchinto </item>
1685 <item> ocifetchstatement </item>
1686 <item> ocifreecollection </item>
1687 <item> ocifreecursor </item>
1688 <item> ocifreedesc </item>
1689 <item> ocifreestatement </item>
1690 <item> ociinternaldebug </item>
1691 <item> ociloadlob </item>
1692 <item> ocilogoff </item>
1693 <item> ocilogon </item>
1694 <item> ocinewcollection </item>
1695 <item> ocinewcursor </item>
1696 <item> ocinewdescriptor </item>
1697 <item> ocinlogon </item>
1698 <item> ocinumcols </item>
1699 <item> ociparse </item>
1700 <item> ociplogon </item>
1701 <item> ociresult </item>
1702 <item> ocirollback </item>
1703 <item> ocirowcount </item>
1704 <item> ocisavelob </item>
1705 <item> ocisavelobfile </item>
1706 <item> ociserverversion </item>
1707 <item> ocisetprefetch </item>
1708 <item> ocistatementtype </item>
1709 <item> ociwritelobtofile </item>
1710 <item> octdec </item>
1711 <item> odbc_autocommit </item>
1712 <item> odbc_binmode </item>
1713 <item> odbc_close </item>
1714 <item> odbc_close_all </item>
1715 <item> odbc_columnprivileges </item>
1716 <item> odbc_columns </item>
1717 <item> odbc_commit </item>
1718 <item> odbc_connect </item>
1719 <item> odbc_cursor </item>
1720 <item> odbc_do </item>
1721 <item> odbc_error </item>
1722 <item> odbc_errormsg </item>
1723 <item> odbc_exec </item>
1724 <item> odbc_execute </item>
1725 <item> odbc_fetch_array </item>
1726 <item> odbc_fetch_into </item>
1727 <item> odbc_fetch_object </item>
1728 <item> odbc_fetch_row </item>
1729 <item> odbc_field_len </item>
1730 <item> odbc_field_name </item>
1731 <item> odbc_field_num </item>
1732 <item> odbc_field_precision </item>
1733 <item> odbc_field_scale </item>
1734 <item> odbc_field_type </item>
1735 <item> odbc_foreignkeys </item>
1736 <item> odbc_free_result </item>
1737 <item> odbc_gettypeinfo </item>
1738 <item> odbc_longreadlen </item>
1739 <item> odbc_next_result </item>
1740 <item> odbc_num_fields </item>
1741 <item> odbc_num_rows </item>
1742 <item> odbc_pconnect </item>
1743 <item> odbc_prepare </item>
1744 <item> odbc_primarykeys </item>
1745 <item> odbc_procedurecolumns </item>
1746 <item> odbc_procedures </item>
1747 <item> odbc_result </item>
1748 <item> odbc_result_all </item>
1749 <item> odbc_rollback </item>
1750 <item> odbc_setoption </item>
1751 <item> odbc_specialcolumns </item>
1752 <item> odbc_statistics </item>
1753 <item> odbc_tableprivileges </item>
1754 <item> odbc_tables </item>
1755 <item> opendir </item>
1756 <item> openlog </item>
1757 <item> openssl_csr_export </item>
1758 <item> openssl_csr_export_to_file </item>
1759 <item> openssl_csr_new </item>
1760 <item> openssl_csr_sign </item>
1761 <item> openssl_error_string </item>
1762 <item> openssl_free_key </item>
1763 <item> openssl_get_privatekey </item>
1764 <item> openssl_get_publickey </item>
1765 <item> openssl_open </item>
1766 <item> openssl_pkcs7_decrypt </item>
1767 <item> openssl_pkcs7_encrypt </item>
1768 <item> openssl_pkcs7_sign </item>
1769 <item> openssl_pkcs7_verify </item>
1770 <item> openssl_pkey_export </item>
1771 <item> openssl_pkey_export_to_file </item>
1772 <item> openssl_pkey_new </item>
1773 <item> openssl_private_decrypt </item>
1774 <item> openssl_private_encrypt </item>
1775 <item> openssl_public_decrypt </item>
1776 <item> openssl_public_encrypt </item>
1777 <item> openssl_seal </item>
1778 <item> openssl_sign </item>
1779 <item> openssl_verify </item>
1780 <item> openssl_x509_check_private_key </item>
1781 <item> openssl_x509_checkpurpose </item>
1782 <item> openssl_x509_export </item>
1783 <item> openssl_x509_export_to_file </item>
1784 <item> openssl_x509_free </item>
1785 <item> openssl_x509_parse </item>
1786 <item> openssl_x509_read </item>
1787 <item> ora_bind </item>
1788 <item> ora_close </item>
1789 <item> ora_columnname </item>
1790 <item> ora_columnsize </item>
1791 <item> ora_columntype </item>
1792 <item> ora_commit </item>
1793 <item> ora_commitoff </item>
1794 <item> ora_commiton </item>
1795 <item> ora_do </item>
1796 <item> ora_error </item>
1797 <item> ora_errorcode </item>
1798 <item> ora_exec </item>
1799 <item> ora_fetch </item>
1800 <item> ora_fetch_into </item>
1801 <item> ora_getcolumn </item>
1802 <item> ora_logoff </item>
1803 <item> ora_logon </item>
1804 <item> ora_numcols </item>
1805 <item> ora_numrows </item>
1806 <item> ora_open </item>
1807 <item> ora_parse </item>
1808 <item> ora_plogon </item>
1809 <item> ora_rollback </item>
1810 <item> ord </item>
1811 <item> overload </item>
1812 <item> ovrimos_close </item>
1813 <item> ovrimos_commit </item>
1814 <item> ovrimos_connect </item>
1815 <item> ovrimos_cursor </item>
1816 <item> ovrimos_exec </item>
1817 <item> ovrimos_execute </item>
1818 <item> ovrimos_fetch_into </item>
1819 <item> ovrimos_fetch_row </item>
1820 <item> ovrimos_field_len </item>
1821 <item> ovrimos_field_name </item>
1822 <item> ovrimos_field_num </item>
1823 <item> ovrimos_field_type </item>
1824 <item> ovrimos_free_result </item>
1825 <item> ovrimos_longreadlen </item>
1826 <item> ovrimos_num_fields </item>
1827 <item> ovrimos_num_rows </item>
1828 <item> ovrimos_prepare </item>
1829 <item> ovrimos_result </item>
1830 <item> ovrimos_result_all </item>
1831 <item> ovrimos_rollback </item>
1832 <item> pack </item>
1833 <item> parse_ini_file </item>
1834 <item> parse_str </item>
1835 <item> parse_url </item>
1836 <item> passthru </item>
1837 <item> pathinfo </item>
1838 <item> pclose </item>
1839 <item> pcntl_exec </item>
1840 <item> pcntl_fork </item>
1841 <item> pcntl_signal </item>
1842 <item> pcntl_waitpid </item>
1843 <item> pcntl_wexitstatus </item>
1844 <item> pcntl_wifexited </item>
1845 <item> pcntl_wifsignaled </item>
1846 <item> pcntl_wifstopped </item>
1847 <item> pcntl_wstopsig </item>
1848 <item> pcntl_wtermsig </item>
1849 <item> pdf_add_annotation </item>
1850 <item> pdf_add_bookmark </item>
1851 <item> pdf_add_launchlink </item>
1852 <item> pdf_add_locallink </item>
1853 <item> pdf_add_note </item>
1854 <item> pdf_add_outline </item>
1855 <item> pdf_add_pdflink </item>
1856 <item> pdf_add_thumbnail </item>
1857 <item> pdf_add_weblink </item>
1858 <item> pdf_arc </item>
1859 <item> pdf_arcn </item>
1860 <item> pdf_attach_file </item>
1861 <item> pdf_begin_page </item>
1862 <item> pdf_begin_pattern </item>
1863 <item> pdf_begin_template </item>
1864 <item> pdf_circle </item>
1865 <item> pdf_clip </item>
1866 <item> pdf_close </item>
1867 <item> pdf_close_image </item>
1868 <item> pdf_close_pdi </item>
1869 <item> pdf_close_pdi_page </item>
1870 <item> pdf_closepath </item>
1871 <item> pdf_closepath_fill_stroke </item>
1872 <item> pdf_closepath_stroke </item>
1873 <item> pdf_concat </item>
1874 <item> pdf_continue_text </item>
1875 <item> pdf_curveto </item>
1876 <item> pdf_delete </item>
1877 <item> pdf_end_page </item>
1878 <item> pdf_end_pattern </item>
1879 <item> pdf_end_template </item>
1880 <item> pdf_endpath </item>
1881 <item> pdf_fill </item>
1882 <item> pdf_fill_stroke </item>
1883 <item> pdf_findfont </item>
1884 <item> pdf_get_buffer </item>
1885 <item> pdf_get_font </item>
1886 <item> pdf_get_fontname </item>
1887 <item> pdf_get_fontsize </item>
1888 <item> pdf_get_image_height </item>
1889 <item> pdf_get_image_width </item>
1890 <item> pdf_get_majorversion </item>
1891 <item> pdf_get_minorversion </item>
1892 <item> pdf_get_parameter </item>
1893 <item> pdf_get_pdi_parameter </item>
1894 <item> pdf_get_pdi_value </item>
1895 <item> pdf_get_value </item>
1896 <item> pdf_initgraphics </item>
1897 <item> pdf_lineto </item>
1898 <item> pdf_makespotcolor </item>
1899 <item> pdf_moveto </item>
1900 <item> pdf_new </item>
1901 <item> pdf_open </item>
1902 <item> pdf_open_ccitt </item>
1903 <item> pdf_open_file </item>
1904 <item> pdf_open_gif </item>
1905 <item> pdf_open_image </item>
1906 <item> pdf_open_image_file </item>
1907 <item> pdf_open_jpeg </item>
1908 <item> pdf_open_memory_image </item>
1909 <item> pdf_open_pdi </item>
1910 <item> pdf_open_pdi_page </item>
1911 <item> pdf_open_png </item>
1912 <item> pdf_open_tiff </item>
1913 <item> pdf_place_image </item>
1914 <item> pdf_place_pdi_page </item>
1915 <item> pdf_rect </item>
1916 <item> pdf_restore </item>
1917 <item> pdf_rotate </item>
1918 <item> pdf_save </item>
1919 <item> pdf_scale </item>
1920 <item> pdf_set_border_color </item>
1921 <item> pdf_set_border_dash </item>
1922 <item> pdf_set_border_style </item>
1923 <item> pdf_set_char_spacing </item>
1924 <item> pdf_set_duration </item>
1925 <item> pdf_set_font </item>
1926 <item> pdf_set_horiz_scaling </item>
1927 <item> pdf_set_info </item>
1928 <item> pdf_set_info_author </item>
1929 <item> pdf_set_info_creator </item>
1930 <item> pdf_set_info_keywords </item>
1931 <item> pdf_set_info_subject </item>
1932 <item> pdf_set_info_title </item>
1933 <item> pdf_set_leading </item>
1934 <item> pdf_set_parameter </item>
1935 <item> pdf_set_text_pos </item>
1936 <item> pdf_set_text_rendering </item>
1937 <item> pdf_set_text_rise </item>
1938 <item> pdf_set_transition </item>
1939 <item> pdf_set_value </item>
1940 <item> pdf_set_word_spacing </item>
1941 <item> pdf_setcolor </item>
1942 <item> pdf_setdash </item>
1943 <item> pdf_setflat </item>
1944 <item> pdf_setfont </item>
1945 <item> pdf_setgray </item>
1946 <item> pdf_setgray_fill </item>
1947 <item> pdf_setgray_stroke </item>
1948 <item> pdf_setlinecap </item>
1949 <item> pdf_setlinejoin </item>
1950 <item> pdf_setlinewidth </item>
1951 <item> pdf_setmatrix </item>
1952 <item> pdf_setmiterlimit </item>
1953 <item> pdf_setpolydash </item>
1954 <item> pdf_setrgbcolor </item>
1955 <item> pdf_setrgbcolor_fill </item>
1956 <item> pdf_setrgbcolor_stroke </item>
1957 <item> pdf_show </item>
1958 <item> pdf_show_boxed </item>
1959 <item> pdf_show_xy </item>
1960 <item> pdf_skew </item>
1961 <item> pdf_stringwidth </item>
1962 <item> pdf_stroke </item>
1963 <item> pdf_translate </item>
1964 <item> pfpro_cleanup </item>
1965 <item> pfpro_init </item>
1966 <item> pfpro_process </item>
1967 <item> pfpro_process_raw </item>
1968 <item> pfpro_version </item>
1969 <item> pfsockopen </item>
1970 <item> pg_affected_rows </item>
1971 <item> pg_cancel_query </item>
1972 <item> pg_client_encoding </item>
1973 <item> pg_close </item>
1974 <item> pg_connect </item>
1975 <item> pg_connection_busy </item>
1976 <item> pg_connection_reset </item>
1977 <item> pg_connection_status </item>
1978 <item> pg_copy_from </item>
1979 <item> pg_copy_to </item>
1980 <item> pg_dbname </item>
1981 <item> pg_end_copy </item>
1982 <item> pg_escape_bytea </item>
1983 <item> pg_escape_string </item>
1984 <item> pg_fetch_array </item>
1985 <item> pg_fetch_object </item>
1986 <item> pg_fetch_result </item>
1987 <item> pg_fetch_row </item>
1988 <item> pg_field_is_null </item>
1989 <item> pg_field_name </item>
1990 <item> pg_field_num </item>
1991 <item> pg_field_prtlen </item>
1992 <item> pg_field_size </item>
1993 <item> pg_field_type </item>
1994 <item> pg_free_result </item>
1995 <item> pg_get_result </item>
1996 <item> pg_host </item>
1997 <item> pg_last_error </item>
1998 <item> pg_last_notice </item>
1999 <item> pg_last_oid </item>
2000 <item> pg_lo_close </item>
2001 <item> pg_lo_create </item>
2002 <item> pg_lo_export </item>
2003 <item> pg_lo_import </item>
2004 <item> pg_lo_open </item>
2005 <item> pg_lo_read </item>
2006 <item> pg_lo_read_all </item>
2007 <item> pg_lo_seek </item>
2008 <item> pg_lo_tell </item>
2009 <item> pg_lo_unlink </item>
2010 <item> pg_lo_write </item>
2011 <item> pg_num_fields </item>
2012 <item> pg_num_rows </item>
2013 <item> pg_options </item>
2014 <item> pg_pconnect </item>
2015 <item> pg_port </item>
2016 <item> pg_put_line </item>
2017 <item> pg_query </item>
2018 <item> pg_result_error </item>
2019 <item> pg_result_status </item>
2020 <item> pg_send_query </item>
2021 <item> pg_set_client_encoding </item>
2022 <item> pg_trace </item>
2023 <item> pg_tty </item>
2024 <item> pg_untrace </item>
2025 <item> php_logo_guid </item>
2026 <item> php_sapi_name </item>
2027 <item> php_uname </item>
2028 <item> phpcredits </item>
2029 <item> phpinfo </item>
2030 <item> phpversion </item>
2031 <item> pi </item>
2032 <item> png2wbmp </item>
2033 <item> popen </item>
2034 <item> pos </item>
2035 <item> posix_ctermid </item>
2036 <item> posix_getcwd </item>
2037 <item> posix_getegid </item>
2038 <item> posix_geteuid </item>
2039 <item> posix_getgid </item>
2040 <item> posix_getgrgid </item>
2041 <item> posix_getgrnam </item>
2042 <item> posix_getgroups </item>
2043 <item> posix_getlogin </item>
2044 <item> posix_getpgid </item>
2045 <item> posix_getpgrp </item>
2046 <item> posix_getpid </item>
2047 <item> posix_getppid </item>
2048 <item> posix_getpwnam </item>
2049 <item> posix_getpwuid </item>
2050 <item> posix_getrlimit </item>
2051 <item> posix_getsid </item>
2052 <item> posix_getuid </item>
2053 <item> posix_isatty </item>
2054 <item> posix_kill </item>
2055 <item> posix_mkfifo </item>
2056 <item> posix_setegid </item>
2057 <item> posix_seteuid </item>
2058 <item> posix_setgid </item>
2059 <item> posix_setpgid </item>
2060 <item> posix_setsid </item>
2061 <item> posix_setuid </item>
2062 <item> posix_times </item>
2063 <item> posix_ttyname </item>
2064 <item> posix_uname </item>
2065 <item> pow </item>
2066 <item> preg_grep </item>
2067 <item> preg_match </item>
2068 <item> preg_match_all </item>
2069 <item> preg_quote </item>
2070 <item> preg_replace </item>
2071 <item> preg_replace_callback </item>
2072 <item> preg_split </item>
2073 <item> prev </item>
2074 <item> print </item>
2075 <item> print_r </item>
2076 <item> printer_abort </item>
2077 <item> printer_close </item>
2078 <item> printer_create_brush </item>
2079 <item> printer_create_dc </item>
2080 <item> printer_create_font </item>
2081 <item> printer_create_pen </item>
2082 <item> printer_delete_brush </item>
2083 <item> printer_delete_dc </item>
2084 <item> printer_delete_font </item>
2085 <item> printer_delete_pen </item>
2086 <item> printer_draw_bmp </item>
2087 <item> printer_draw_chord </item>
2088 <item> printer_draw_elipse </item>
2089 <item> printer_draw_line </item>
2090 <item> printer_draw_pie </item>
2091 <item> printer_draw_rectangle </item>
2092 <item> printer_draw_roundrect </item>
2093 <item> printer_draw_text </item>
2094 <item> printer_end_doc </item>
2095 <item> printer_end_page </item>
2096 <item> printer_get_option </item>
2097 <item> printer_list </item>
2098 <item> printer_logical_fontheight </item>
2099 <item> printer_open </item>
2100 <item> printer_select_brush </item>
2101 <item> printer_select_font </item>
2102 <item> printer_select_pen </item>
2103 <item> printer_set_option </item>
2104 <item> printer_start_doc </item>
2105 <item> printer_start_page </item>
2106 <item> printer_write </item>
2107 <item> printf </item>
2108 <item> pspell_add_to_personal </item>
2109 <item> pspell_add_to_session </item>
2110 <item> pspell_check </item>
2111 <item> pspell_clear_session </item>
2112 <item> pspell_config_create </item>
2113 <item> pspell_config_ignore </item>
2114 <item> pspell_config_mode </item>
2115 <item> pspell_config_personal </item>
2116 <item> pspell_config_repl </item>
2117 <item> pspell_config_runtogether </item>
2118 <item> pspell_config_save_repl </item>
2119 <item> pspell_new </item>
2120 <item> pspell_new_config </item>
2121 <item> pspell_new_personal </item>
2122 <item> pspell_save_wordlist </item>
2123 <item> pspell_store_replacement </item>
2124 <item> pspell_suggest </item>
2125 <item> putenv </item>
2126 <item> qdom_error </item>
2127 <item> qdom_tree </item>
2128 <item> quoted_printable_decode </item>
2129 <item> quotemeta </item>
2130 <item> rad2deg </item>
2131 <item> rand </item>
2132 <item> range </item>
2133 <item> rawurldecode </item>
2134 <item> rawurlencode </item>
2135 <item> read_exif_data </item>
2136 <item> readdir </item>
2137 <item> readfile </item>
2138 <item> readgzfile </item>
2139 <item> readline </item>
2140 <item> readline_add_history </item>
2141 <item> readline_clear_history </item>
2142 <item> readline_completion_function </item>
2143 <item> readline_info </item>
2144 <item> readline_list_history </item>
2145 <item> readline_read_history </item>
2146 <item> readline_write_history </item>
2147 <item> readlink </item>
2148 <item> realpath </item>
2149 <item> recode </item>
2150 <item> recode_file </item>
2151 <item> recode_string </item>
2152 <item> register_shutdown_function </item>
2153 <item> register_tick_function </item>
2154 <item> rename </item>
2155 <item> require </item>
2156 <item> require_once </item>
2157 <item> reset </item>
2158 <item> restore_error_handler </item>
2159 <item> return </item>
2160 <item> rewind </item>
2161 <item> rewinddir </item>
2162 <item> rmdir </item>
2163 <item> round </item>
2164 <item> rsort </item>
2165 <item> rtrim </item>
2166 <item> sem_acquire </item>
2167 <item> sem_get </item>
2168 <item> sem_release </item>
2169 <item> sem_remove </item>
2170 <item> serialize </item>
2171 <item> sesam_affected_rows </item>
2172 <item> sesam_commit </item>
2173 <item> sesam_connect </item>
2174 <item> sesam_diagnostic </item>
2175 <item> sesam_disconnect </item>
2176 <item> sesam_errormsg </item>
2177 <item> sesam_execimm </item>
2178 <item> sesam_fetch_array </item>
2179 <item> sesam_fetch_result </item>
2180 <item> sesam_fetch_row </item>
2181 <item> sesam_field_array </item>
2182 <item> sesam_field_name </item>
2183 <item> sesam_free_result </item>
2184 <item> sesam_num_fields </item>
2185 <item> sesam_query </item>
2186 <item> sesam_rollback </item>
2187 <item> sesam_seek_row </item>
2188 <item> sesam_settransaction </item>
2189 <item> session_cache_expire </item>
2190 <item> session_cache_limiter </item>
2191 <item> session_decode </item>
2192 <item> session_destroy </item>
2193 <item> session_encode </item>
2194 <item> session_get_cookie_params </item>
2195 <item> session_id </item>
2196 <item> session_is_registered </item>
2197 <item> session_module_name </item>
2198 <item> session_name </item>
2199 <item> session_register </item>
2200 <item> session_save_path </item>
2201 <item> session_set_cookie_params </item>
2202 <item> session_set_save_handler </item>
2203 <item> session_start </item>
2204 <item> session_unregister </item>
2205 <item> session_unset </item>
2206 <item> session_write_close </item>
2207 <item> set_error_handler </item>
2208 <item> set_file_buffer </item>
2209 <item> set_magic_quotes_runtime </item>
2210 <item> set_time_limit </item>
2211 <item> setcookie </item>
2212 <item> setlocale </item>
2213 <item> settype </item>
2214 <item> shell_exec </item>
2215 <item> shm_attach </item>
2216 <item> shm_detach </item>
2217 <item> shm_get_var </item>
2218 <item> shm_put_var </item>
2219 <item> shm_remove </item>
2220 <item> shm_remove_var </item>
2221 <item> shmop_close </item>
2222 <item> shmop_delete </item>
2223 <item> shmop_open </item>
2224 <item> shmop_read </item>
2225 <item> shmop_size </item>
2226 <item> shmop_write </item>
2227 <item> show_source </item>
2228 <item> shuffle </item>
2229 <item> similar_text </item>
2230 <item> sin </item>
2231 <item> sinh </item>
2232 <item> sizeof </item>
2233 <item> sleep </item>
2234 <item> snmp_get_quick_print </item>
2235 <item> snmp_set_quick_print </item>
2236 <item> snmpget </item>
2237 <item> snmprealwalk </item>
2238 <item> snmpset </item>
2239 <item> snmpwalk </item>
2240 <item> snmpwalkoid </item>
2241 <item> socket_accept </item>
2242 <item> socket_bind </item>
2243 <item> socket_close </item>
2244 <item> socket_connect </item>
2245 <item> socket_create </item>
2246 <item> socket_create_listen </item>
2247 <item> socket_create_pair </item>
2248 <item> socket_fd_alloc </item>
2249 <item> socket_fd_clear </item>
2250 <item> socket_fd_free </item>
2251 <item> socket_fd_isset </item>
2252 <item> socket_fd_set </item>
2253 <item> socket_fd_zero </item>
2254 <item> socket_get_status </item>
2255 <item> socket_getopt </item>
2256 <item> socket_getpeername </item>
2257 <item> socket_getsockname </item>
2258 <item> socket_iovec_add </item>
2259 <item> socket_iovec_alloc </item>
2260 <item> socket_iovec_delete </item>
2261 <item> socket_iovec_fetch </item>
2262 <item> socket_iovec_free </item>
2263 <item> socket_iovec_set </item>
2264 <item> socket_last_error </item>
2265 <item> socket_listen </item>
2266 <item> socket_read </item>
2267 <item> socket_readv </item>
2268 <item> socket_recv </item>
2269 <item> socket_recvfrom </item>
2270 <item> socket_recvmsg </item>
2271 <item> socket_select </item>
2272 <item> socket_send </item>
2273 <item> socket_sendmsg </item>
2274 <item> socket_sendto </item>
2275 <item> socket_set_blocking </item>
2276 <item> socket_set_nonblock </item>
2277 <item> socket_set_timeout </item>
2278 <item> socket_setopt </item>
2279 <item> socket_shutdown </item>
2280 <item> socket_strerror </item>
2281 <item> socket_write </item>
2282 <item> socket_writev </item>
2283 <item> sort </item>
2284 <item> soundex </item>
2285 <item> split </item>
2286 <item> spliti </item>
2287 <item> sprintf </item>
2288 <item> sql_regcase </item>
2289 <item> sqrt </item>
2290 <item> srand </item>
2291 <item> sscanf </item>
2292 <item> stat </item>
2293 <item> str_pad </item>
2294 <item> str_repeat </item>
2295 <item> str_replace </item>
2296 <item> str_rot13 </item>
2297 <item> strcasecmp </item>
2298 <item> strchr </item>
2299 <item> strcmp </item>
2300 <item> strcoll </item>
2301 <item> strcspn </item>
2302 <item> strftime </item>
2303 <item> strip_tags </item>
2304 <item> stripcslashes </item>
2305 <item> stripslashes </item>
2306 <item> stristr </item>
2307 <item> strlen </item>
2308 <item> strnatcasecmp </item>
2309 <item> strnatcmp </item>
2310 <item> strncasecmp </item>
2311 <item> strncmp </item>
2312 <item> strpos </item>
2313 <item> strrchr </item>
2314 <item> strrev </item>
2315 <item> strrpos </item>
2316 <item> strspn </item>
2317 <item> strstr </item>
2318 <item> strtok </item>
2319 <item> strtolower </item>
2320 <item> strtotime </item>
2321 <item> strtoupper </item>
2322 <item> strtr </item>
2323 <item> strval </item>
2324 <item> substr </item>
2325 <item> substr_count </item>
2326 <item> substr_replace </item>
2327 <item> swf_actiongeturl </item>
2328 <item> swf_actiongotoframe </item>
2329 <item> swf_actiongotolabel </item>
2330 <item> swf_actionnextframe </item>
2331 <item> swf_actionplay </item>
2332 <item> swf_actionprevframe </item>
2333 <item> swf_actionsettarget </item>
2334 <item> swf_actionstop </item>
2335 <item> swf_actiontogglequality </item>
2336 <item> swf_actionwaitforframe </item>
2337 <item> swf_addbuttonrecord </item>
2338 <item> swf_addcolor </item>
2339 <item> swf_closefile </item>
2340 <item> swf_definebitmap </item>
2341 <item> swf_definefont </item>
2342 <item> swf_defineline </item>
2343 <item> swf_definepoly </item>
2344 <item> swf_definerect </item>
2345 <item> swf_definetext </item>
2346 <item> swf_endbutton </item>
2347 <item> swf_enddoaction </item>
2348 <item> swf_endshape </item>
2349 <item> swf_endsymbol </item>
2350 <item> swf_fontsize </item>
2351 <item> swf_fontslant </item>
2352 <item> swf_fonttracking </item>
2353 <item> swf_getbitmapinfo </item>
2354 <item> swf_getfontinfo </item>
2355 <item> swf_getframe </item>
2356 <item> swf_labelframe </item>
2357 <item> swf_lookat </item>
2358 <item> swf_modifyobject </item>
2359 <item> swf_mulcolor </item>
2360 <item> swf_nextid </item>
2361 <item> swf_oncondition </item>
2362 <item> swf_openfile </item>
2363 <item> swf_ortho </item>
2364 <item> swf_ortho2 </item>
2365 <item> swf_perspective </item>
2366 <item> swf_placeobject </item>
2367 <item> swf_polarview </item>
2368 <item> swf_popmatrix </item>
2369 <item> swf_posround </item>
2370 <item> swf_pushmatrix </item>
2371 <item> swf_removeobject </item>
2372 <item> swf_rotate </item>
2373 <item> swf_scale </item>
2374 <item> swf_setfont </item>
2375 <item> swf_setframe </item>
2376 <item> swf_shapearc </item>
2377 <item> swf_shapecurveto </item>
2378 <item> swf_shapecurveto3 </item>
2379 <item> swf_shapefillbitmapclip </item>
2380 <item> swf_shapefillbitmaptile </item>
2381 <item> swf_shapefilloff </item>
2382 <item> swf_shapefillsolid </item>
2383 <item> swf_shapelinesolid </item>
2384 <item> swf_shapelineto </item>
2385 <item> swf_shapemoveto </item>
2386 <item> swf_showframe </item>
2387 <item> swf_startbutton </item>
2388 <item> swf_startdoaction </item>
2389 <item> swf_startshape </item>
2390 <item> swf_startsymbol </item>
2391 <item> swf_textwidth </item>
2392 <item> swf_translate </item>
2393 <item> swf_viewport </item>
2394 <item> swfaction </item>
2395 <item> swfbitmap </item>
2396 <item> swfbitmap.getheight </item>
2397 <item> swfbitmap.getwidth </item>
2398 <item> swfbutton </item>
2399 <item> swfbutton.addaction </item>
2400 <item> swfbutton.addshape </item>
2401 <item> swfbutton.setaction </item>
2402 <item> swfbutton.setdown </item>
2403 <item> swfbutton.sethit </item>
2404 <item> swfbutton.setover </item>
2405 <item> swfbutton.setup </item>
2406 <item> swfbutton_keypress </item>
2407 <item> swfdisplayitem </item>
2408 <item> swfdisplayitem.addcolor </item>
2409 <item> swfdisplayitem.move </item>
2410 <item> swfdisplayitem.moveto </item>
2411 <item> swfdisplayitem.multcolor </item>
2412 <item> swfdisplayitem.remove </item>
2413 <item> swfdisplayitem.rotate </item>
2414 <item> swfdisplayitem.rotateto </item>
2415 <item> swfdisplayitem.scale </item>
2416 <item> swfdisplayitem.scaleto </item>
2417 <item> swfdisplayitem.setdepth </item>
2418 <item> swfdisplayitem.setname </item>
2419 <item> swfdisplayitem.setratio </item>
2420 <item> swfdisplayitem.skewx </item>
2421 <item> swfdisplayitem.skewxto </item>
2422 <item> swfdisplayitem.skewy </item>
2423 <item> swfdisplayitem.skewyto </item>
2424 <item> swffill </item>
2425 <item> swffill.moveto </item>
2426 <item> swffill.rotateto </item>
2427 <item> swffill.scaleto </item>
2428 <item> swffill.skewxto </item>
2429 <item> swffill.skewyto </item>
2430 <item> swffont </item>
2431 <item> swffont.getwidth </item>
2432 <item> swfgradient </item>
2433 <item> swfgradient.addentry </item>
2434 <item> swfmorph </item>
2435 <item> swfmorph.getshape1 </item>
2436 <item> swfmorph.getshape2 </item>
2437 <item> swfmovie </item>
2438 <item> swfmovie.add </item>
2439 <item> swfmovie.nextframe </item>
2440 <item> swfmovie.output </item>
2441 <item> swfmovie.remove </item>
2442 <item> swfmovie.save </item>
2443 <item> swfmovie.setbackground </item>
2444 <item> swfmovie.setdimension </item>
2445 <item> swfmovie.setframes </item>
2446 <item> swfmovie.setrate </item>
2447 <item> swfmovie.streammp3 </item>
2448 <item> swfshape </item>
2449 <item> swfshape.addfill </item>
2450 <item> swfshape.drawcurve </item>
2451 <item> swfshape.drawcurveto </item>
2452 <item> swfshape.drawline </item>
2453 <item> swfshape.drawlineto </item>
2454 <item> swfshape.movepen </item>
2455 <item> swfshape.movepento </item>
2456 <item> swfshape.setleftfill </item>
2457 <item> swfshape.setline </item>
2458 <item> swfshape.setrightfill </item>
2459 <item> swfsprite </item>
2460 <item> swfsprite.add </item>
2461 <item> swfsprite.nextframe </item>
2462 <item> swfsprite.remove </item>
2463 <item> swfsprite.setframes </item>
2464 <item> swftext </item>
2465 <item> swftext.addstring </item>
2466 <item> swftext.getwidth </item>
2467 <item> swftext.moveto </item>
2468 <item> swftext.setcolor </item>
2469 <item> swftext.setfont </item>
2470 <item> swftext.setheight </item>
2471 <item> swftext.setspacing </item>
2472 <item> swftextfield </item>
2473 <item> swftextfield.addstring </item>
2474 <item> swftextfield.align </item>
2475 <item> swftextfield.setbounds </item>
2476 <item> swftextfield.setcolor </item>
2477 <item> swftextfield.setfont </item>
2478 <item> swftextfield.setheight </item>
2479 <item> swftextfield.setindentation </item>
2480 <item> swftextfield.setleftmargin </item>
2481 <item> swftextfield.setlinespacing </item>
2482 <item> swftextfield.setmargins </item>
2483 <item> swftextfield.setname </item>
2484 <item> swftextfield.setrightmargin </item>
2485 <item> sybase_affected_rows </item>
2486 <item> sybase_close </item>
2487 <item> sybase_connect </item>
2488 <item> sybase_data_seek </item>
2489 <item> sybase_fetch_array </item>
2490 <item> sybase_fetch_field </item>
2491 <item> sybase_fetch_object </item>
2492 <item> sybase_fetch_row </item>
2493 <item> sybase_field_seek </item>
2494 <item> sybase_free_result </item>
2495 <item> sybase_get_last_message </item>
2496 <item> sybase_min_client_severity </item>
2497 <item> sybase_min_error_severity </item>
2498 <item> sybase_min_message_severity </item>
2499 <item> sybase_min_server_severity </item>
2500 <item> sybase_num_fields </item>
2501 <item> sybase_num_rows </item>
2502 <item> sybase_pconnect </item>
2503 <item> sybase_query </item>
2504 <item> sybase_result </item>
2505 <item> sybase_select_db </item>
2506 <item> symlink </item>
2507 <item> syslog </item>
2508 <item> system </item>
2509 <item> tan </item>
2510 <item> tanh </item>
2511 <item> tempnam </item>
2512 <item> textdomain </item>
2513 <item> time </item>
2514 <item> tmpfile </item>
2515 <item> touch </item>
2516 <item> trigger_error </item>
2517 <item> trim </item>
2518 <item> uasort </item>
2519 <item> ucfirst </item>
2520 <item> ucwords </item>
2521 <item> udm_add_search_limit </item>
2522 <item> udm_alloc_agent </item>
2523 <item> udm_api_version </item>
2524 <item> udm_cat_list </item>
2525 <item> udm_cat_path </item>
2526 <item> udm_check_charset </item>
2527 <item> udm_check_stored </item>
2528 <item> udm_clear_search_limits </item>
2529 <item> udm_close_stored </item>
2530 <item> udm_crc32 </item>
2531 <item> udm_errno </item>
2532 <item> udm_error </item>
2533 <item> udm_find </item>
2534 <item> udm_free_agent </item>
2535 <item> udm_free_ispell_data </item>
2536 <item> udm_free_res </item>
2537 <item> udm_get_doc_count </item>
2538 <item> udm_get_res_field </item>
2539 <item> udm_get_res_param </item>
2540 <item> udm_load_ispell_data </item>
2541 <item> udm_open_stored </item>
2542 <item> udm_set_agent_param </item>
2543 <item> uksort </item>
2544 <item> umask </item>
2545 <item> uniqid </item>
2546 <item> unixtojd </item>
2547 <item> unlink </item>
2548 <item> unpack </item>
2549 <item> unregister_tick_function </item>
2550 <item> unserialize </item>
2551 <item> unset </item>
2552 <item> urldecode </item>
2553 <item> urlencode </item>
2554 <item> user_error </item>
2555 <item> usleep </item>
2556 <item> usort </item>
2557 <item> utf8_decode </item>
2558 <item> utf8_encode </item>
2559 <item> var_dump </item>
2560 <item> var_export </item>
2561 <item> variant </item>
2562 <item> version_compare </item>
2563 <item> virtual </item>
2564 <item> vpopmail_add_alias_domain </item>
2565 <item> vpopmail_add_alias_domain_ex </item>
2566 <item> vpopmail_add_domain </item>
2567 <item> vpopmail_add_domain_ex </item>
2568 <item> vpopmail_add_user </item>
2569 <item> vpopmail_alias_add </item>
2570 <item> vpopmail_alias_del </item>
2571 <item> vpopmail_alias_del_domain </item>
2572 <item> vpopmail_alias_get </item>
2573 <item> vpopmail_alias_get_all </item>
2574 <item> vpopmail_auth_user </item>
2575 <item> vpopmail_del_domain </item>
2576 <item> vpopmail_del_domain_ex </item>
2577 <item> vpopmail_del_user </item>
2578 <item> vpopmail_error </item>
2579 <item> vpopmail_passwd </item>
2580 <item> vpopmail_set_user_quota </item>
2581 <item> vprintf </item>
2582 <item> vsprintf </item>
2583 <item> w32api_deftype </item>
2584 <item> w32api_init_dtype </item>
2585 <item> w32api_invoke_function </item>
2586 <item> w32api_register_function </item>
2587 <item> w32api_set_call_method </item>
2588 <item> wddx_add_vars </item>
2589 <item> wddx_deserialize </item>
2590 <item> wddx_packet_end </item>
2591 <item> wddx_packet_start </item>
2592 <item> wddx_serialize_value </item>
2593 <item> wddx_serialize_vars </item>
2594 <item> wordwrap </item>
2595 <item> xml_error_string </item>
2596 <item> xml_get_current_byte_index </item>
2597 <item> xml_get_current_column_number </item>
2598 <item> xml_get_current_line_number </item>
2599 <item> xml_get_error_code </item>
2600 <item> xml_parse </item>
2601 <item> xml_parse_into_struct </item>
2602 <item> xml_parser_create </item>
2603 <item> xml_parser_create_ns </item>
2604 <item> xml_parser_free </item>
2605 <item> xml_parser_get_option </item>
2606 <item> xml_parser_set_option </item>
2607 <item> xml_set_character_data_handler </item>
2608 <item> xml_set_default_handler </item>
2609 <item> xml_set_element_handler </item>
2610 <item> xml_set_end_namespace_decl_handler </item>
2611 <item> xml_set_external_entity_ref_handler </item>
2612 <item> xml_set_notation_decl_handler </item>
2613 <item> xml_set_object </item>
2614 <item> xml_set_processing_instruction_handler </item>
2615 <item> xml_set_start_namespace_decl_handler </item>
2616 <item> xml_set_unparsed_entity_decl_handler </item>
2617 <item> xmldoc </item>
2618 <item> xmldocfile </item>
2619 <item> xmlrpc_decode </item>
2620 <item> xmlrpc_decode_request </item>
2621 <item> xmlrpc_encode </item>
2622 <item> xmlrpc_encode_request </item>
2623 <item> xmlrpc_get_type </item>
2624 <item> xmlrpc_parse_method_descriptions </item>
2625 <item> xmlrpc_server_add_introspection_data </item>
2626 <item> xmlrpc_server_call_method </item>
2627 <item> xmlrpc_server_create </item>
2628 <item> xmlrpc_server_destroy </item>
2629 <item> xmlrpc_server_register_introspection_callback </item>
2630 <item> xmlrpc_server_register_method </item>
2631 <item> xmlrpc_set_type </item>
2632 <item> xmltree </item>
2633 <item> xpath_eval </item>
2634 <item> xpath_eval_expression </item>
2635 <item> xpath_new_context </item>
2636 <item> xptr_eval </item>
2637 <item> xptr_new_context </item>
2638 <item> xslt_create </item>
2639 <item> xslt_errno </item>
2640 <item> xslt_error </item>
2641 <item> xslt_free </item>
2642 <item> xslt_process </item>
2643 <item> xslt_set_base </item>
2644 <item> xslt_set_encoding </item>
2645 <item> xslt_set_error_handler </item>
2646 <item> xslt_set_log </item>
2647 <item> xslt_set_sax_handler </item>
2648 <item> xslt_set_sax_handlers </item>
2649 <item> xslt_set_scheme_handler </item>
2650 <item> xslt_set_scheme_handlers </item>
2651 <item> yaz_addinfo </item>
2652 <item> yaz_ccl_conf </item>
2653 <item> yaz_ccl_parse </item>
2654 <item> yaz_close </item>
2655 <item> yaz_connect </item>
2656 <item> yaz_database </item>
2657 <item> yaz_element </item>
2658 <item> yaz_errno </item>
2659 <item> yaz_error </item>
2660 <item> yaz_hits </item>
2661 <item> yaz_itemorder </item>
2662 <item> yaz_present </item>
2663 <item> yaz_range </item>
2664 <item> yaz_record </item>
2665 <item> yaz_scan </item>
2666 <item> yaz_scan_result </item>
2667 <item> yaz_search </item>
2668 <item> yaz_sort </item>
2669 <item> yaz_syntax </item>
2670 <item> yaz_wait </item>
2671 <item> yp_all </item>
2672 <item> yp_cat </item>
2673 <item> yp_err_string </item>
2674 <item> yp_errno </item>
2675 <item> yp_first </item>
2676 <item> yp_get_default_domain </item>
2677 <item> yp_master </item>
2678 <item> yp_match </item>
2679 <item> yp_next </item>
2680 <item> yp_order </item>
2681 <item> zend_logo_guid </item>
2682 <item> zend_version </item>
2683 <item> zip_close </item>
2684 <item> zip_entry_close </item>
2685 <item> zip_entry_compressedsize </item>
2686 <item> zip_entry_compressionmethod </item>
2687 <item> zip_entry_filesize </item>
2688 <item> zip_entry_name </item>
2689 <item> zip_entry_open </item>
2690 <item> zip_entry_read </item>
2691 <item> zip_open </item>
2692 <item> zip_read </item>
2693 </list>
2694 <contexts>
2695 <context name="nosource" attribute="Normal Text" lineEndContext="#stay">
2696 <StringDetect attribute="Keyword" context="phpsource" String="&lt;?php" />
2697 <StringDetect attribute="Keyword" context="phpsource" String="&lt;?" />
2698 </context>
2699 <context name="phpsource" attribute="Normal Text" lineEndContext="#stay">
2700 <StringDetect attribute="Keyword" context="#pop" String="?&gt;" />
2701 <Detect2Chars attribute="Comment" context="onelinecomment" char="/" char1="/" />
2702 <Detect2Chars attribute="Comment" context="twolinecomment" char="/" char1="&#42;" />
2703 <keyword attribute="Control Structures" context="#stay" String="control structures" />
2704 <keyword attribute="Keyword" context="#stay" String="keywords" />
2705 <keyword attribute="Function" context="#stay" String="functions" />
2706 <DetectChar attribute="String" context="doblequotestring" char="&quot;" />
2707 <DetectChar attribute="String" context="singlequotestring" char="&#39;" />
2708 <RegExpr attribute="String" context="" String="" />
2709 <RegExpr attribute="Variable" context="#stay" String="\$[a-zA-Z_\x7f-\xff][a-zA-Z0-9_\x7f-\xff]*" />
2710 <HlCOct attribute="Octal" context="#stay" />
2711 <HlCHex attribute="Hex" context="#stay" />
2712 <Float attribute="Float" context="#stay" />
2713 <Int attribute="Decimal" context="#stay" />
2714 <AnyChar attribute="Operator" context="#stay" String="=+-*/.%&amp;|!^&gt;&lt;" />
2715 <keyword attribute="Operator" context="#stay" String="operators" />
2716 <AnyChar attribute="Other" context="#stay" String=";()}{:,[]" />
2717 <keyword attribute="Other" context="#stay" String="Others" />
2718 </context>
2719 <context name="onelinecomment" attribute="Comment" lineEndContext="#pop" />
2720 <context name="twolinecomment" attribute="Comment" lineEndContext="#stay">
2721 <Detect2Chars attribute="Comment" context="#pop" char="*" char1="/" />
2722 </context>
2723 <context name="doblequotestring" attribute="String" lineEndContext="#stay">
2724 <Detect2Chars attribute="Backslash Code" context="#stay" char="\" char1="&quot;" />
2725 <Detect2Chars attribute="Backslash Code" context="#stay" char="\" char1="\" />
2726 <Detect2Chars attribute="Backslash Code" context="#stay" char="\" char1="n" />
2727 <Detect2Chars attribute="Backslash Code" context="#stay" char="\" char1="r" />
2728 <Detect2Chars attribute="Backslash Code" context="#stay" char="\" char1="t" />
2729 <Detect2Chars attribute="Backslash Code" context="#stay" char="\" char1="$" />
2730 <RegExpr attribute="Backslash Code" context="#stay" String="\\[0-7]{1,3}" />
2731 <RegExpr attribute="Backslash Code" context="#stay" String="\\x[0-9A-Fa-f]{1,2}" />
2732 <RegExpr attribute="Variable" context="#stay" String="\$[a-zA-Z_\x7f-\xff][a-zA-Z0-9_\x7f-\xff]*(\[[a-zA-Z0-9_]*\])*" />
2733 <RegExpr attribute="Variable" context="#stay" String="\$\{[a-zA-Z_\x7f-\xff][a-zA-Z0-9_\x7f-\xff]*(\[[a-zA-Z0-9_]*\])*\}" />
2734 <RegExpr attribute="Variable" context="#stay" String="\{\$[a-zA-Z_\x7f-\xff][a-zA-Z0-9_\x7f-\xff]*(\[([0-9]*|&quot;[a-zA-Z_]*&quot;)|'[a-zA-Z_]*'|\])*(->[a-zA-Z_\x7f-\xff][a-zA-Z0-9_\x7f-\xff]*(\[[a-zA-Z0-9_]*\])*(\[([0-9]*|&quot;[a-zA-Z_]*&quot;)|'[a-zA-Z_]*'|\])*)*\}" />
2735 <DetectChar attribute="String" context="#pop" char="&quot;" />
2736 </context>
2737 <context name="singlequotestring" attribute="String" lineEndContext="#stay">
2738 <Detect2Chars attribute="Backslash Code" context="#stay" char="\" char1="'" />
2739 <Detect2Chars attribute="Backslash Code" context="#stay" char="\" char1="\" />
2740 <DetectChar attribute="String" context="#pop" char="'" />
2741 </context>
2742 </contexts>
2743 <itemDatas>
2744 <itemData name="Normal Text" defStyleNum="dsNormal" />
2745 <itemData name="Keyword" defStyleNum="dsKeyword" />
2746 <itemData name="Function" defStyleNum="dsKeyword" color="#0000FF" selColor="#00ffff" bold="0" italic="0"/>
2747 <itemData name="Operator" defStyleNum="dsKeyword" color="#00F000" selColor="#ffffff" bold="1" italic="0"/>
2748 <itemData name="Decimal" defStyleNum="dsDecVal" />
2749 <itemData name="Octal" defStyleNum="dsBaseN" />
2750 <itemData name="Hex" defStyleNum="dsBaseN" />
2751 <itemData name="Float" defStyleNum="dsFloat" />
2752 <itemData name="String" defStyleNum="dsString" />
2753 <itemData name="Comment" defStyleNum="dsComment" />
2754 <itemData name="Variable" defStyleNum="dsKeyword" color="#5555FF" selColor="#ffffff" bold="0" italic="0" />
2755 <itemData name="Control Structures" defStyleNum="dsKeyword" color="#A1A100" selColor="#ffffff" bold="0" italic="0" />
2756 <itemData name="Backslash Code" defStyleNum="dsKeyword" color="#0F0F8F" selColor="#ffffff" bold="1" italic="0" />
2757 <itemData name="Other" defStyleNum="dsOthers" />
2758 </itemDatas>
2759 </highlighting>
2760 <general>
2761 <comments>
2762 <comment name="singleLine" start="//" />
2763 <comment name="multiLine" start="/*" end="*/" />
2764 </comments>
2765 <keywords casesensitive="0" weakDeliminator=""/>
2766 </general>
2767</language>
diff --git a/share/tinykate/syntax/postscript.xml b/share/tinykate/syntax/postscript.xml
new file mode 100644
index 0000000..c02db6d
--- a/dev/null
+++ b/share/tinykate/syntax/postscript.xml
@@ -0,0 +1,440 @@
1<?xml version="1.0" encoding="UTF-8"?>
2<!DOCTYPE language SYSTEM "language.dtd">
3<language name="PostScript" version="1.00" kateversion="2.0" section="Markup" extensions="*.ps;*.ai;*.eps" mimetype="application/postscript">
4<highlighting>
5 <list name="keywords">
6 <item> abs </item>
7 <item> add </item>
8 <item> aload </item>
9 <item> anchorsearch </item>
10 <item> and </item>
11 <item> arc </item>
12 <item> arcn </item>
13 <item> arct </item>
14 <item> arcto </item>
15 <item> array </item>
16 <item> ashow </item>
17 <item> astore </item>
18 <item> awidthshow </item>
19 <item> begin </item>
20 <item> bind </item>
21 <item> bitshift </item>
22 <item> ceiling </item>
23 <item> charpath </item>
24 <item> clear </item>
25 <item> cleartomark </item>
26 <item> clip </item>
27 <item> clippath </item>
28 <item> closepath </item>
29 <item> concat </item>
30 <item> concatmatrix </item>
31 <item> copy </item>
32 <item> count </item>
33 <item> counttomark </item>
34 <item> currentcmykcolor </item>
35 <item> currentdash </item>
36 <item> currentdict </item>
37 <item> currentfile </item>
38 <item> currentfont </item>
39 <item> currentgray </item>
40 <item> currentgstate </item>
41 <item> currenthsbcolor </item>
42 <item> currentlinecap </item>
43 <item> currentlinejoin </item>
44 <item> currentlinewidth </item>
45 <item> currentmatrix </item>
46 <item> currentpoint </item>
47 <item> currentrgbcolor </item>
48 <item> currentshared </item>
49 <item> curveto </item>
50 <item> cvi </item>
51 <item> cvlit </item>
52 <item> cvn </item>
53 <item> cvr </item>
54 <item> cvrs </item>
55 <item> cvs </item>
56 <item> cvx </item>
57 <item> def </item>
58 <item> defineusername </item>
59 <item> dict </item>
60 <item> div </item>
61 <item> dtransform </item>
62 <item> dup </item>
63 <item> end </item>
64 <item> eoclip </item>
65 <item> eofill </item>
66 <item> eoviewclip </item>
67 <item> eq </item>
68 <item> exch </item>
69 <item> exec </item>
70 <item> exit </item>
71 <item> file </item>
72 <item> fill </item>
73 <item> findfont </item>
74 <item> flattenpath </item>
75 <item> floor </item>
76 <item> flush </item>
77 <item> flushfile </item>
78 <item> for </item>
79 <item> forall </item>
80 <item> ge </item>
81 <item> get </item>
82 <item> getinterval </item>
83 <item> grestore </item>
84 <item> gsave </item>
85 <item> gstate </item>
86 <item> gt </item>
87 <item> identmatrix </item>
88 <item> idiv </item>
89 <item> idtransform </item>
90 <item> if </item>
91 <item> ifelse </item>
92 <item> image </item>
93 <item> imagemask </item>
94 <item> index </item>
95 <item> ineofill </item>
96 <item> infill </item>
97 <item> initviewclip </item>
98 <item> inueofill </item>
99 <item> inufill </item>
100 <item> invertmatrix </item>
101 <item> itransform </item>
102 <item> known </item>
103 <item> le </item>
104 <item> length </item>
105 <item> lineto </item>
106 <item> load </item>
107 <item> loop </item>
108 <item> lt </item>
109 <item> makefont </item>
110 <item> matrix </item>
111 <item> maxlength </item>
112 <item> mod </item>
113 <item> moveto </item>
114 <item> mul </item>
115 <item> ne </item>
116 <item> neg </item>
117 <item> newpath </item>
118 <item> not </item>
119 <item> null </item>
120 <item> or </item>
121 <item> pathbbox </item>
122 <item> pathforall </item>
123 <item> pop </item>
124 <item> print </item>
125 <item> printobject </item>
126 <item> put </item>
127 <item> putinterval </item>
128 <item> rcurveto </item>
129 <item> read </item>
130 <item> readhexstring </item>
131 <item> readline </item>
132 <item> readstring </item>
133 <item> rectclip </item>
134 <item> rectfill </item>
135 <item> rectstroke </item>
136 <item> rectviewclip </item>
137 <item> repeat </item>
138 <item> restore </item>
139 <item> rlineto </item>
140 <item> rmoveto </item>
141 <item> roll </item>
142 <item> rotate </item>
143 <item> round </item>
144 <item> save </item>
145 <item> scale </item>
146 <item> scalefont </item>
147 <item> search </item>
148 <item> selectfont </item>
149 <item> setbbox </item>
150 <item> setcachedevice </item>
151 <item> setcachedevice2 </item>
152 <item> setcharwidth </item>
153 <item> setcmykcolor </item>
154 <item> setdash </item>
155 <item> setfont </item>
156 <item> setgray </item>
157 <item> setgstate </item>
158 <item> sethsbcolor </item>
159 <item> setlinecap </item>
160 <item> setlinejoin </item>
161 <item> setlinewidth </item>
162 <item> setmatrix </item>
163 <item> setrgbcolor </item>
164 <item> setshared </item>
165 <item> shareddict </item>
166 <item> show </item>
167 <item> showpage </item>
168 <item> stop </item>
169 <item> stopped </item>
170 <item> store </item>
171 <item> string </item>
172 <item> stringwidth </item>
173 <item> stroke </item>
174 <item> strokepath </item>
175 <item> sub </item>
176 <item> systemdict </item>
177 <item> token </item>
178 <item> transform </item>
179 <item> translate </item>
180 <item> truncate </item>
181 <item> type </item>
182 <item> uappend </item>
183 <item> ucache </item>
184 <item> ueofill </item>
185 <item> ufill </item>
186 <item> undef </item>
187 <item> upath </item>
188 <item> userdict </item>
189 <item> ustroke </item>
190 <item> viewclip </item>
191 <item> viewclippath </item>
192 <item> where </item>
193 <item> widthshow </item>
194 <item> write </item>
195 <item> writehexstring </item>
196 <item> writeobject </item>
197 <item> writestring </item>
198 <item> wtranslation </item>
199 <item> xor </item>
200 <item> xshow </item>
201 <item> xyshow </item>
202 <item> yshow </item>
203 <item> FontDirectory </item>
204 <item> SharedFontDirectory </item>
205 <item> Courier </item>
206 <item> Courier-Bold </item>
207 <item> Courier-BoldOblique </item>
208 <item> Courier-Oblique </item>
209 <item> Helvetica </item>
210 <item> Helvetica-Bold </item>
211 <item> Helvetica-BoldOblique </item>
212 <item> Helvetica-Oblique </item>
213 <item> Symbol </item>
214 <item> Times-Bold </item>
215 <item> Times-BoldItalic </item>
216 <item> Times-Italic </item>
217 <item> Times-Roman </item>
218 <item> execuserobject </item>
219 <item> currentcolor </item>
220 <item> currentcolorspace </item>
221 <item> currentglobal </item>
222 <item> execform </item>
223 <item> filter </item>
224 <item> findresource </item>
225 <item> globaldict </item>
226 <item> makepattern </item>
227 <item> setcolor </item>
228 <item> setcolorspace </item>
229 <item> setglobal </item>
230 <item> setpagedevice </item>
231 <item> setpattern </item>
232 <item> = </item>
233 <item> == </item>
234 <item> ISOLatin1Encoding </item>
235 <item> StandardEncoding </item>
236 <item> [ </item>
237 <item> ] </item>
238 <item> atan </item>
239 <item> banddevice </item>
240 <item> bytesavailable </item>
241 <item> cachestatus </item>
242 <item> closefile </item>
243 <item> colorimage </item>
244 <item> condition </item>
245 <item> copypage </item>
246 <item> cos </item>
247 <item> countdictstack </item>
248 <item> countexecstack </item>
249 <item> cshow </item>
250 <item> currentblackgeneration </item>
251 <item> currentcacheparams </item>
252 <item> currentcolorscreen </item>
253 <item> currentcolortransfer </item>
254 <item> currentcontext </item>
255 <item> currentflat </item>
256 <item> currenthalftone </item>
257 <item> currenthalftonephase </item>
258 <item> currentmiterlimit </item>
259 <item> currentobjectformat </item>
260 <item> currentpacking </item>
261 <item> currentscreen </item>
262 <item> currentstrokeadjust </item>
263 <item> currenttransfer </item>
264 <item> currentundercolorremoval </item>
265 <item> defaultmatrix </item>
266 <item> definefont </item>
267 <item> deletefile </item>
268 <item> detach </item>
269 <item> deviceinfo </item>
270 <item> dictstack </item>
271 <item> echo </item>
272 <item> erasepage </item>
273 <item> errordict </item>
274 <item> execstack </item>
275 <item> executeonly </item>
276 <item> exp </item>
277 <item> false </item>
278 <item> filenameforall </item>
279 <item> fileposition </item>
280 <item> fork </item>
281 <item> framedevice </item>
282 <item> grestoreall </item>
283 <item> handleerror </item>
284 <item> initclip </item>
285 <item> initgraphics </item>
286 <item> initmatrix </item>
287 <item> instroke </item>
288 <item> inustroke </item>
289 <item> join </item>
290 <item> kshow </item>
291 <item> ln </item>
292 <item> lock </item>
293 <item> log </item>
294 <item> mark </item>
295 <item> monitor </item>
296 <item> noaccess </item>
297 <item> notify </item>
298 <item> nulldevice </item>
299 <item> packedarray </item>
300 <item> quit </item>
301 <item> rand </item>
302 <item> rcheck </item>
303 <item> readonly </item>
304 <item> realtime </item>
305 <item> renamefile </item>
306 <item> renderbands </item>
307 <item> resetfile </item>
308 <item> reversepath </item>
309 <item> rootfont </item>
310 <item> rrand </item>
311 <item> run </item>
312 <item> scheck </item>
313 <item> setblackgeneration </item>
314 <item> setcachelimit </item>
315 <item> setcacheparams </item>
316 <item> setcolorscreen </item>
317 <item> setcolortransfer </item>
318 <item> setfileposition </item>
319 <item> setflat </item>
320 <item> sethalftone </item>
321 <item> sethalftonephase </item>
322 <item> setmiterlimit </item>
323 <item> setobjectformat </item>
324 <item> setpacking </item>
325 <item> setscreen </item>
326 <item> setstrokeadjust </item>
327 <item> settransfer </item>
328 <item> setucacheparams </item>
329 <item> setundercolorremoval </item>
330 <item> sin </item>
331 <item> sqrt </item>
332 <item> srand </item>
333 <item> stack </item>
334 <item> status </item>
335 <item> statusdict </item>
336 <item> true </item>
337 <item> ucachestatus </item>
338 <item> undefinefont </item>
339 <item> usertime </item>
340 <item> ustrokepath </item>
341 <item> version </item>
342 <item> vmreclaim </item>
343 <item> vmstatus </item>
344 <item> wait </item>
345 <item> wcheck </item>
346 <item> xcheck </item>
347 <item> yield </item>
348 <item> defineuserobject </item>
349 <item> undefineuserobject </item>
350 <item> UserObjects </item>
351 <item> cleardictstack </item>
352 <item> setvmthreshold </item>
353 <item> &lt;&lt; </item>
354 <item> &gt;&gt; </item>
355 <item> currentcolorrendering </item>
356 <item> currentdevparams </item>
357 <item> currentoverprint </item>
358 <item> currentpagedevice </item>
359 <item> currentsystemparams </item>
360 <item> currentuserparams </item>
361 <item> defineresource </item>
362 <item> findencoding </item>
363 <item> gcheck </item>
364 <item> glyphshow </item>
365 <item> languagelevel </item>
366 <item> product </item>
367 <item> pstack </item>
368 <item> resourceforall </item>
369 <item> resourcestatus </item>
370 <item> revision </item>
371 <item> serialnumber </item>
372 <item> setcolorrendering </item>
373 <item> setdevparams </item>
374 <item> setoverprint </item>
375 <item> setsystemparams </item>
376 <item> setuserparams </item>
377 <item> startjob </item>
378 <item> undefineresource </item>
379 <item> GlobalFontDirectory </item>
380 <item> ASCII85Decode </item>
381 <item> ASCII85Encode </item>
382 <item> ASCIIHexDecode </item>
383 <item> ASCIIHexEncode </item>
384 <item> CCITTFaxDecode </item>
385 <item> CCITTFaxEncode </item>
386 <item> DCTDecode </item>
387 <item> DCTEncode </item>
388 <item> LZWDecode </item>
389 <item> LZWEncode </item>
390 <item> NullEncode </item>
391 <item> RunLengthDecode </item>
392 <item> RunLengthEncode </item>
393 <item> SubFileDecode </item>
394 <item> CIEBasedA </item>
395 <item> CIEBasedABC </item>
396 <item> DeviceCMYK </item>
397 <item> DeviceGray </item>
398 <item> DeviceRGB </item>
399 <item> Indexed </item>
400 <item> Pattern </item>
401 <item> Separation </item>
402 <item> CIEBasedDEF </item>
403 <item> CIEBasedDEFG </item>
404 <item> DeviceN </item>
405 </list>
406
407 <contexts>
408 <context name="Normal" attribute="0" lineEndContext="#stay">
409 <keyword attribute="1" context="#stay" String="keywords"/>
410 <Detect2Chars attribute="3" context="2" char="%" char1="!"/>
411 <DetectChar attribute="2" context="1" char="%"/>
412 <Float attribute="4" context="#stay"/>
413 <Int attribute="5" context="#stay"/>
414 <DetectChar attribute="6" context="3" char="("/>
415 <RegExpr attribute="7" context="#stay" String="\/{1,2}[^\s\(\)\{\}\[\]%/]*"/>
416 </context>
417 <context attribute="2" lineEndContext="#pop" name="Comment"/>
418 <context attribute="3" lineEndContext="#pop" name="Header"/>
419 <context attribute="6" lineEndContext="#stay" name="String">
420 <DetectChar attribute="6" context="#pop" char=")" />
421 </context>
422 </contexts>
423 <itemDatas>
424 <itemData name="Normal Text" defStyleNum="dsNormal"/>
425 <itemData name="Keyword" defStyleNum="dsKeyword"/>
426 <itemData name="Comment" defStyleNum="dsComment"/>
427 <itemData name="Header" defStyleNum="dsOthers"/>
428 <itemData name="Float" defStyleNum="dsFloat"/>
429 <itemData name="Decimal" defStyleNum="dsDecVal"/>
430 <itemData name="String" defStyleNum="dsString"/>
431 <itemData name="Data Type" defStyleNum="dsDataType"/>
432 </itemDatas>
433</highlighting>
434<general>
435 <comments>
436 <comment name="singleLine" start="%" />
437 </comments>
438 <keywords casesensitive="0" />
439</general>
440</language>
diff --git a/share/tinykate/syntax/python.xml b/share/tinykate/syntax/python.xml
new file mode 100644
index 0000000..e7722e9
--- a/dev/null
+++ b/share/tinykate/syntax/python.xml
@@ -0,0 +1,213 @@
1<?xml version="1.02" encoding="UTF-8"?>
2<!DOCTYPE language>
3<!-- Python syntax highlightning v0.9 by Per Wigren -->
4<language name="Python" version="1.00" kateversion="2.0" section="Scripts" extensions="*.py;*.pyw" mimetype="text/x-python" casesensitive="1">
5 <highlighting>
6 <list name="prep">
7 <item> import </item>
8 <item> from </item>
9 <item> as </item>
10 </list>
11
12 <list name="statements">
13 <item> assert </item>
14 <item> break </item>
15 <item> class </item>
16 <item> continue </item>
17 <item> def </item>
18 <item> del </item>
19 <item> elif </item>
20 <item> else </item>
21 <item> except </item>
22 <item> exec </item>
23 <item> finally </item>
24 <item> for </item>
25 <item> global </item>
26 <item> if </item>
27 <item> in </item>
28 <item> is </item>
29 <item> lambda </item>
30 <item> not </item>
31 <item> or </item>
32 <item> pass </item>
33 <item> print </item>
34 <item> raise </item>
35 <item> return </item>
36 <item> try </item>
37 <item> while </item>
38 <item> yield </item>
39 </list>
40
41 <list name="builtinfuncs">
42 <item> abs </item>
43 <item> apply </item>
44 <item> buffer </item>
45 <item> callable </item>
46 <item> chr </item>
47 <item> cmp </item>
48 <item> coerce </item>
49 <item> compile </item>
50 <item> complex </item>
51 <item> copyright </item>
52 <item> credits </item>
53 <item> delattr </item>
54 <item> dir </item>
55 <item> divmod </item>
56 <item> eval </item>
57 <item> execfile </item>
58 <item> exit </item>
59 <item> filter </item>
60 <item> float </item>
61 <item> getattr </item>
62 <item> globals </item>
63 <item> hasattr </item>
64 <item> hash </item>
65 <item> hex </item>
66 <item> id </item>
67 <item> input </item>
68 <item> int </item>
69 <item> intern </item>
70 <item> isinstance </item>
71 <item> issubclass </item>
72 <item> iter </item>
73 <item> len </item>
74 <item> license </item>
75 <item> list </item>
76 <item> locals </item>
77 <item> long </item>
78 <item> map </item>
79 <item> max </item>
80 <item> min </item>
81 <item> oct </item>
82 <item> open </item>
83 <item> ord </item>
84 <item> pow </item>
85 <item> quit </item>
86 <item> range </item>
87 <item> raw_input </item>
88 <item> reduce </item>
89 <item> reload </item>
90 <item> repr </item>
91 <item> round </item>
92 <item> setattr </item>
93 <item> slice </item>
94 <item> str </item>
95 <item> tuple </item>
96 <item> type </item>
97 <item> unichr </item>
98 <item> unicode </item>
99 <item> vars </item>
100 <item> xrange </item>
101 <item> zip </item>
102 </list>
103
104 <list name="specialvars">
105 <item> None </item>
106 <item> self </item>
107 </list>
108
109 <contexts>
110 <context name="Normal" attribute="Normal Text" lineEndContext="0">
111 <keyword attribute="Preprocessor" String="prep" context="0"/>
112 <keyword attribute="Keyword" String="statements" context="0"/>
113 <keyword attribute="Builtin Function" String="builtinfuncs" context="0"/>
114 <keyword attribute="Special Variable" String="specialvars" context="0"/>
115 <RegExpr attribute="Normal" String="[a-zA-Z][a-zA-Z0-9]+" context="0"/>
116 <RegExpr attribute="Long" String="[1-9][0-9]*([eE][0-9.]+)?[Ll]" context="0"/>
117 <RegExpr attribute="Hex" String="0[Xx][0-9a-fA-F]+" context="0"/>
118 <RegExpr attribute="Octal" String="0[1-9][0-9]*" context="0"/>
119 <RegExpr attribute="Complex" String="[0-9+.-]+[Jj]" context="0"/>
120 <RegExpr attribute="Float" String="([1-9][0-9]*)?\.[0-9]*([eE][1-9][0-9]*(\.[0-9]*)?)?" context="0"/>
121 <RegExpr attribute="Int" String="([1-9][0-9]*([eE][0-9]+)?|0)" context="0"/>
122
123 <RegExpr attribute="Raw String" String="[rR]'" context="9"/>
124 <RegExpr attribute="Raw String" String="[rR]&quot;" context="10"/>
125
126 <RegExpr attribute="Comment" String="#.*$" context="0"/>
127 <RegExpr attribute="Comment" String="^\s*'''" context="1"/>
128 <RegExpr attribute="Comment" String="^\s*&quot;&quot;&quot;" context="2"/>
129
130 <StringDetect attribute="String" String="'''" context="3"/>
131 <StringDetect attribute="String" String="&quot;&quot;&quot;" context="4"/>
132 <DetectChar attribute="String" char="'" context="7"/>
133 <DetectChar attribute="String" char="&quot;" context="8"/>
134
135 <RegExpr attribute="Operator" String="[+*/\(\)%\|\[\]\{\}:=;\!&lt;&gt;!^&amp;~-]" context="0"/>
136
137 </context>
138
139 <context name="Tripple A-comment" attribute="Comment" lineEndContext="1">
140 <HlCChar attribute="Comment" context="1"/>
141 <RegExpr attribute="Comment" String="'''" context="0"/>
142 </context>
143
144 <context name="Tripple Q-comment" attribute="Comment" lineEndContext="2">
145 <HlCChar attribute="Comment" context="2"/>
146 <RegExpr attribute="Comment" String="&quot;&quot;&quot;" context="0"/>
147 </context>
148
149 <context name="Tripple A-string" attribute="String" lineEndContext="3">
150 <HlCChar attribute="String" context="3"/>
151 <RegExpr attribute="Operator" String="%[a-zA-Z]" context="3"/>
152 <RegExpr attribute="String" String="'''" context="0"/>
153 </context>
154
155 <context name="Tripple Q-string" attribute="String" lineEndContext="4">
156 <HlCStringChar attribute="String" context="4"/>
157 <RegExpr attribute="Operator" String="%[a-zA-Z]" context="4"/>
158 <RegExpr attribute="String" String="&quot;&quot;&quot;" context="0"/>
159 </context>
160
161 <context name="Single A-comment" attribute="Comment" lineEndContext="5">
162 <HlCStringChar attribute="Comment" context="5"/>
163 <DetectChar attribute="Comment" char="'" context="0"/>
164 </context>
165
166 <context name="Single Q-comment" attribute="Comment" lineEndContext="6">
167 <HlCStringChar attribute="Comment" context="6"/>
168 <DetectChar attribute="Comment" char="&quot;" context="0"/>
169 </context>
170
171 <context name="Single A-string" attribute="String" lineEndContext="7">
172 <HlCStringChar attribute="String" context="7"/>
173 <RegExpr attribute="Operator" String="%[a-zA-Z]" context="7"/>
174 <DetectChar attribute="String" char="'" context="0"/>
175 </context>
176
177 <context name="Single Q-string" attribute="String" lineEndContext="8">
178 <HlCStringChar attribute="String" context="8"/>
179 <RegExpr attribute="Operator" String="%[a-zA-Z]" context="8"/>
180 <DetectChar attribute="String" char="&quot;" context="0"/>
181 </context>
182
183 <context name="Raw A-string" attribute="Raw String" lineEndContext="9">
184 <HlCStringChar attribute="Raw String" context="9"/>
185 <DetectChar attribute="Raw String" char="'" context="0"/>
186 </context>
187
188 <context name="Raw Q-string" attribute="Raw String" lineEndContext="10">
189 <HlCStringChar attribute="Raw String" context="10"/>
190 <DetectChar attribute="Raw String" char="&quot;" context="0"/>
191 </context>
192
193
194 </contexts>
195 <itemDatas>
196 <itemData name="Normal Text" defStyleNum="dsNormal"/>
197 <itemData name="Operator" defStyleNum="dsChar"/>
198 <itemData name="Keyword" defStyleNum="dsKeyword"/>
199 <itemData name="Builtin Function" defStyleNum="dsDataType"/>
200 <itemData name="Special Variable" defStyleNum="dsOthers"/>
201 <itemData name="Preprocessor" defStyleNum="dsChar"/>
202 <itemData name="Long" defStyleNum="dsOthers"/>
203 <itemData name="Float" defStyleNum="dsFloat"/>
204 <itemData name="Int" defStyleNum="dsDecVal"/>
205 <itemData name="Hex" defStyleNum="dsOthers"/>
206 <itemData name="Octal" defStyleNum="dsOthers"/>
207 <itemData name="Complex" defStyleNum="dsOthers"/>
208 <itemData name="Comment" defStyleNum="dsComment"/>
209 <itemData name="String" defStyleNum="dsString"/>
210 <itemData name="Raw String" defStyleNum="dsString"/>
211 </itemDatas>
212 </highlighting>
213</language>
diff --git a/share/tinykate/syntax/r.xml b/share/tinykate/syntax/r.xml
new file mode 100644
index 0000000..16b16b8
--- a/dev/null
+++ b/share/tinykate/syntax/r.xml
@@ -0,0 +1,54 @@
1<?xml version="1.0" encoding="UTF-8"?>
2<!DOCTYPE language SYSTEM "language.dtd">
3<language name="R Script" section="Scripts" extensions="*.R" mimetype="">
4 <!-- Kate 2.0 (KDE 3.0) highlighting module for R
5 version 0.2: (c) 2002 E.L. Willighagen, GPL v2 license
6
7 info: http://www-sigma.sci.kun.nl/Persoonlijk/egonw/r-kate/
8 Kate: http://www.kde.org/apps/kate
9 R : http://www.r-project.org/
10 -->
11 <highlighting>
12 <list name="keywords">
13 <item> for </item>
14 <item> in </item>
15 </list>
16 <contexts>
17 <context attribute="0" lineEndContext="#stay">
18 <keyword attribute="1" context="#stay" String="keywords"/>
19 <DetectChar attribute="4" context="2" char="&quot;"/>
20 <RegExpr attribute="1" context="1" String="[a-zA-Z_]+\("/>
21 <DetectChar attribute="5" context="3" char="#"/>
22 </context>
23
24 <context attribute="0" lineEndContext="#stay">
25 <LineContinue attribute="8" context="#stay"/>
26 <DetectChar attribute="1" context="#pop" char=")"/>
27 <DetectChar attribute="4" context="2" char="&quot;"/>
28 <RegExpr attribute="2" context="#stay" String="[a-zA-Z_]+="/>
29 <RegExpr attribute="1" context="1" String="[a-zA-Z_]+\("/>
30 </context>
31
32 <context attribute="4" lineEndContext="#stay">
33 <DetectChar attribute="4" context="#pop" char="&quot;"/>
34 </context>
35
36 <context attribute="5" lineEndContext="0" name="Comment"/>
37
38 </contexts>
39 <itemDatas>
40 <itemData name="Normal Text" defStyleNum="dsNormal"/>
41 <itemData name="Keyword" defStyleNum="dsKeyword"/>
42 <itemData name="Identifier" defStyleNum="dsOthers"/>
43 <itemData name="Types" defStyleNum="dsDataType"/>
44 <itemData name="String" defStyleNum="dsString"/>
45 <itemData name="Comment" defStyleNum="dsComment"/>
46 </itemDatas>
47 </highlighting>
48 <general>
49 <comments>
50 <comment name="singleLine" start="#" end=""/>
51 </comments>
52 <keywords casesensitive="0" />
53 </general>
54</language>
diff --git a/share/tinykate/syntax/rpmspec.xml b/share/tinykate/syntax/rpmspec.xml
new file mode 100644
index 0000000..68e7284
--- a/dev/null
+++ b/share/tinykate/syntax/rpmspec.xml
@@ -0,0 +1,67 @@
1<?xml version="1.0" encoding="UTF-8"?>
2<!DOCTYPE language SYSTEM "language.dtd">
3<language name="RPM Spec" version="1.00" kateversion="2.0" section="Other" extensions="*.spec" mimetype="">
4 <highlighting>
5 <list name="keywords">
6 <item> Name </item>
7 <item> Summary </item>
8 <item> Version </item>
9 <item> Release </item>
10 <item> Source </item>
11 <item> Group </item>
12 <item> BuildRoot </item>
13 <item> Copyright </item>
14 <item> Packager </item>
15 <item> Url </item>
16 <item> URL </item>
17 <item> Prefix </item>
18 <item> Requires </item>
19 <item> BuildRequires </item>
20 <item> Serial </item>
21 <item> Vendor </item>
22 <item> License </item>
23 </list>
24 <list name="types">
25 <item> GPL </item>
26 <item> LGPL </item>
27 <item> Artistic </item>
28 </list>
29 <contexts>
30
31 <context attribute="0" lineEndContext="0">
32 <keyword attribute="1" context="0" String="keywords"/>
33 <keyword attribute="5" context="0" String="types"/>
34 <RegExpr attribute="2" context="0" String="%[a-zA-Z0-9_]*" />
35 <DetectChar attribute="3" context="1" char="#" />
36 <RegExpr attribute="1" context="2" String="\{[a-zA-Z0-9_]*" />
37 <RegExpr attribute="4" context="3" String="&lt;\s*[a-zA-Z0-9_@\.]*" />
38 <RegExpr attribute="5" context="0" String="\$[a-zA-Z0-9_]*" />
39 <RegExpr attribute="1" context="0" String="(Source|Patch)[0-9]*" />
40 <RegExpr attribute="1" context="0" String="^\*.*" /> <!-- ChangeLog -->
41 <Int attribute="4" context="0" />
42 </context>
43
44 <context attribute="3" lineEndContext="0"/>
45
46 <context attribute="1" lineEndContext="0">
47 <DetectChar attribute="1" char="}" context="0"/>
48 </context>
49
50 <context attribute="4" lineEndContext="0">
51 <DetectChar attribute="4" char="&gt;" context="0"/>
52 </context>
53
54 </contexts>
55 <itemDatas>
56 <itemData name="Normal Text" defStyleNum="dsNormal"/>
57 <itemData name="Keyword" defStyleNum="dsKeyword"/>
58 <itemData name="Red" defStyleNum="dsString"/>
59 <itemData name="Comment" defStyleNum="dsComment"/>
60 <itemData name="Blue" defStyleNum="dsDecVal"/>
61 <itemData name="Data" defStyleNum="dsDataType"/>
62 </itemDatas>
63 </highlighting>
64 <general>
65 <keywords casesensitive="1" />
66 </general>
67</language>
diff --git a/share/tinykate/syntax/ruby.xml b/share/tinykate/syntax/ruby.xml
new file mode 100644
index 0000000..d7b6bfb
--- a/dev/null
+++ b/share/tinykate/syntax/ruby.xml
@@ -0,0 +1,152 @@
1<?xml version="1.0" encoding="UTF-8"?>
2<!DOCTYPE language SYSTEM "language.dtd">
3<language name="Ruby" version="1.00" kateversion="2.0" section="Scripts" extensions="*.rb" mimetype="text/x-ruby">
4 <highlighting>
5
6 <list name = "keywords">
7 <item> __FILE__ </item>
8 <item> __LINE__ </item>
9 <item> BEGIN </item>
10 <item> END </item>
11 <item> and </item>
12 <item> begin </item>
13 <item> break </item>
14 <item> and </item>
15 <item> begin </item>
16 <item> break </item>
17 <item> case </item>
18 <item> catch </item>
19 <item> defined? </item>
20 <item> do </item>
21 <item> else </item>
22 <item> elsif </item>
23 <item> end </item>
24 <item> ensure </item>
25 <item> for </item>
26 <item> if </item>
27 <item> in </item>
28 <item> include </item>
29 <item> next </item>
30 <item> not </item>
31 <item> or </item>
32 <item> private </item>
33 <item> protected </item>
34 <item> public </item>
35 <item> redo </item>
36 <item> require </item>
37 <item> rescue </item>
38 <item> retry </item>
39 <item> return </item>
40 <item> super </item>
41 <item> then </item>
42 <item> throw </item>
43 <item> unless </item>
44 <item> until </item>
45 <item> when </item>
46 <item> while </item>
47 <item> yield </item>
48 </list>
49
50 <list name = "attribute-definitions">
51 <item> attr </item>
52 <item> attr_reader </item>
53 <item> attr_writer </item>
54 <item> attr_accessor </item>
55 </list>
56
57 <list name = "definitions">
58 <item> alias </item>
59 <item> module </item>
60 <item> class </item>
61 <item> def </item>
62 <item> undef </item>
63 </list>
64
65 <list name = "literals">
66 <item> nil </item>
67 <item> false </item>
68 <item> true </item>
69 </list>
70
71 <contexts>
72 <context name = "Normal" attribute = "Normal Text" lineEndContext="0">
73 <keyword String = "keywords" attribute = "Keyword" context="0"/>
74 <keyword String = "literals" attribute = "Literal" context="0"/>
75 <StringDetect String= "self" attribute = "Instance Variable" context="0" insensitive="FALSE"/>
76 <keyword String = "attribute-definitions" attribute = "Attribute Definition" context="0"/>
77 <!-- group>
78 <keyword String = "definitions" attribute = "Keyword" context="0"/>
79 <whitespace/>
80 <regexp match = "[a-zA-Z_0-9.]+" attribute = "Definition"/>
81 </group -->
82 <Float attribute = "Float" context="0"/>
83 <Int attribute = "Decimal" context="0"/>
84 <HlCChar attribute = "Char" context="0"/>
85 <DetectChar char = "." attribute = "Operator" context="0"/>
86 <StringDetect String = "&amp;&amp;" attribute = "Operator" context="0" insensitive="FALSE"/>
87 <RegExpr String = ":[^\s]+" attribute = "Symbol" context="0"/>
88 <RegExpr String = "/[^\s]+/" attribute = "Delimiter" context="0"/>
89 <StringDetect String = "||" attribute = "Operator" context="0" insensitive="FALSE"/>
90 <RegExpr String = "\s\?\s" attribute = "Operator" context="0"/>
91 <RegExpr String = "\s:\s" attribute = "Operator" context="0"/>
92 <RegExpr String = "[&lt;&gt;!^&#61;&#37;+*~/-]+" attribute = "Operator" context="0"/>
93 <DetectChar char = '"' attribute = "String" context = "2"/>
94 <DetectChar char= "'" attribute = "String" context="3"/>
95 <RegExpr String = "^##$" attribute = "Main Comment" context="1"/>
96 <RegExpr String = "^#$" attribute = "Comment" context="0"/>
97 <RegExpr String = "[\[\]\{\}|]+" attribute = "Delimiter" context="0"/>
98 <RegExpr String = "[A-Z_]+" attribute = "Global Constant" context="0"/>
99 <RegExpr String = "$[a-zA-Z_0-9]+" attribute = "Global Variable" context="0"/>
100 <RegExpr String = "@[a-zA-Z_0-9]+" attribute = "Instance Variable" context="0"/>
101 <RegExpr String = "@@[a-zA-Z_0-9]+" attribute = "Class Variable" context="0"/>
102 </context>
103
104 <context name = "Main Comment" attribute = "Main Comment" lineEndContext="0">
105 <RegExpr String = "^##$" attribute = "Main Comment" context = "0"/>
106 <RegExpr String = "^#$" attribute = "Main Comment" context = "0"/>
107 </context>
108
109 <context name = "Quoted String" attribute = "String" lineEndContext="0">
110 <RegExpr String = "#{[^}]+}" attribute = "Expression" context = "0"/>
111 <HlCChar attribute = "Char" context = "0"/>
112 <DetectChar char = '"' attribute = "String" context = "0"/>
113 </context>
114
115 <context name = "Apostrophed String" attribute = "String" lineEndContext="0">
116 <RegExpr String = "#{[^}]+}" attribute = "Expression" context = "0"/>
117 <HlCChar attribute = "Char" context = "0"/>
118 <DetectChar char = "'" attribute = "String" context = "0"/>
119 </context>
120
121
122 </contexts>
123
124 <itemDatas>
125 <itemData name = "Normal Text" defStyleNum ="dsNormal"/>
126 <itemData name = "Keyword" defStyleNum ="dsKeyword"/>
127 <itemData name = "Definition" defStyleNum ="dsNormal"/>
128 <itemData name = "Literal" defStyleNum ="dsDecVal"/>
129 <itemData name = "Decimal" defStyleNum ="dsDecVal"/>
130 <itemData name = "Float" defStyleNum ="dsFloat"/>
131 <itemData name = "Char" defStyleNum ="dsChar"/>
132 <itemData name = "Symbol" defStyleNum ="dsString"/>
133 <itemData name = "String" defStyleNum ="dsString"/>
134 <itemData name = "Main Comment" defStyleNum ="dsComment" />
135 <itemData name = "Comment" defStyleNum ="dsComment"/>
136 <itemData name = "Delimiter" defStyleNum ="dsChar"/>
137 <itemData name = "Global Constant" defStyleNum ="dsDecVal"/>
138 <itemData name = "Global Variable" defStyleNum ="dsOthers"/>
139 <itemData name = "Instance Variable" defStyleNum ="dsOthers"/>
140 <itemData name = "Class Variable" defStyleNum ="dsOthers"/>
141 <itemData name = "Expression" defStyleNum ="dsOthers"/>
142 <itemData name = "Operator" defStyleNum ="dsChar"/>
143 <itemData name = "Attribute Definition" defStyleNum ="dsOthers"/>
144 </itemDatas>
145 </highlighting>
146 <general>
147 <comments>
148 <comment name="singleLine" start="#" />
149 </comments>
150 <keywords casesensitive="1" />
151 </general>
152</language>
diff --git a/share/tinykate/syntax/sather.xml b/share/tinykate/syntax/sather.xml
new file mode 100644
index 0000000..c7c99ca
--- a/dev/null
+++ b/share/tinykate/syntax/sather.xml
@@ -0,0 +1,141 @@
1<?xml version="1.0" encoding="UTF-8"?>
2<!DOCTYPE language SYSTEM "language.dtd">
3<language name="Sather" version="1.00" kateversion="2.0" section="Sources" extensions="*.sa" mimetype="text/x-sather-src" casesensitive="1">
4 <highlighting>
5 <list name="keywords">
6 <item> and </item>
7 <item> assert </item>
8 <item> attr </item>
9 <item> break! </item>
10 <item> case </item>
11 <item> class </item>
12 <item> const </item>
13 <item> else </item>
14 <item> elsif </item>
15 <item> end </item>
16 <item> exception </item>
17 <item> external </item>
18 <item> false </item>
19 <item> if </item>
20 <item> include </item>
21 <item> initial </item>
22 <item> is </item>
23 <item> ITER </item>
24 <item> loop </item>
25 <item> new </item>
26 <item> or </item>
27 <item> post </item>
28 <item> pre </item>
29 <item> private </item>
30 <item> protect </item>
31 <item> quit </item>
32 <item> raise </item>
33 <item> readonly </item>
34 <item> result </item>
35 <item> return </item>
36 <item> ROUT </item>
37 <item> SAME </item>
38 <item> self </item>
39 <item> shared </item>
40 <item> then </item>
41 <item> true </item>
42 <item> typecase </item>
43 <item> type </item>
44 <item> until! </item>
45 <item> value </item>
46 <item> void </item>
47 <item> when </item>
48 <item> while! </item>
49 <item> yield </item>
50 <item> abstract </item>
51 <item> any </item>
52 <item> bind </item>
53 <item> fork </item>
54 <item> guard </item>
55 <item> immutable </item>
56 <item> inout </item>
57 <item> in </item>
58 <item> lock </item>
59 <item> once </item>
60 <item> out </item>
61 <item> parloop </item>
62 <item> partial </item>
63 <item> par </item>
64 <item> spread </item>
65 <item> stub </item>
66 </list>
67 <list name="types">
68 <item> $OB </item>
69 <item> ARRAY </item>
70 <item> AREF </item>
71 <item> AVAL </item>
72 <item> BOOL </item>
73 <item> CHAR </item>
74 <item> EXT_OB </item>
75 <item> FLTDX </item>
76 <item> FLTD </item>
77 <item> FLTX </item>
78 <item> FLTI </item>
79 <item> FLT </item>
80 <item> INTI </item>
81 <item> INT </item>
82 <item> $REHASH </item>
83 <item> STR </item>
84 <item> SYS </item>
85 </list>
86 <list name="features">
87 <item> create </item>
88 <item> invariant </item>
89 <item> main </item>
90 <item> aget </item>
91 <item> aset </item>
92 <item> div </item>
93 <item> is_eq </item>
94 <item> is_geq </item>
95 <item> is_gt </item>
96 <item> is_leq </item>
97 <item> is_lt </item>
98 <item> is_neq </item>
99 <item> minus </item>
100 <item> mod </item>
101 <item> negate </item>
102 <item> not </item>
103 <item> plus </item>
104 <item> pow </item>
105 <item> times </item>
106 </list>
107 <contexts>
108 <context attribute="0" lineEndContext="0">
109 <keyword attribute="1" context="0" String="keywords"/>
110 <keyword attribute="2" context="0" String="types"/>
111 <keyword attribute="3" context="0" String="features"/>
112 <Float attribute="5" context="0"/>
113 <Int attribute="4" context="0"/>
114 <RegExpr attribute="6" context="0" String="'.'"/>
115 <DetectChar attribute="7" context="1" char="&quot;"/>
116 <Detect2Chars attribute="8" context="2" char="-" char1="-"/>
117 </context>
118 <context attribute="7" lineEndContext="0">
119 <DetectChar attribute="7" context="0" char="&quot;"/>
120 </context>
121 <context attribute="8" lineEndContext="0"/>
122 </contexts>
123 <itemDatas>
124 <itemData name="Normal Text" defStyleNum="dsNormal" />
125 <itemData name="Keyword" defStyleNum="dsKeyword" />
126 <itemData name="Data Type" defStyleNum="dsDataType"/>
127 <itemData name="Features" defStyleNum="dsOthers" />
128 <itemData name="Decimal" defStyleNum="dsDecVal" />
129 <itemData name="Float" defStyleNum="dsFloat" />
130 <itemData name="Char" defStyleNum="dsChar" />
131 <itemData name="String" defStyleNum="dsString" />
132 <itemData name="Comment" defStyleNum="dsComment" />
133 </itemDatas>
134 </highlighting>
135 <general>
136 <comments>
137 <comment name="singleLine" start="--" />
138 </comments>
139 <keywords casesensitive="1" />
140 </general>
141</language>
diff --git a/share/tinykate/syntax/scheme.xml b/share/tinykate/syntax/scheme.xml
new file mode 100644
index 0000000..a205ca7
--- a/dev/null
+++ b/share/tinykate/syntax/scheme.xml
@@ -0,0 +1,247 @@
1<?xml version="1.0" encoding="UTF-8"?>
2<!DOCTYPE language SYSTEM "language.dtd">
3<language name="Scheme" section="Sources" extensions="*.scm;*.ss;*.scheme" mimetype="text/x-scheme">
4 <highlighting>
5 <list name="blocks">
6 <item> begin </item>
7 <item> declare </item>
8 <item> define </item>
9 <item> define-syntax </item>
10 <item> define-structure </item>
11 <item> do </item>
12 <item> let </item>
13 <item> let* </item>
14 <item> letrec </item>
15 <item> let-syntax </item>
16 <item> letrec-syntax </item>
17 <item> lambda </item>
18 </list>
19 <list name="predicates">
20 <item> boolean </item>
21 <item> char-alphabetic </item>
22 <item> char-numeric </item>
23 <item> char-lower-case </item>
24 <item> char-ready </item>
25 <item> char-upper-case </item>
26 <item> char-whitespace </item>
27 <item> complex </item>
28 <item> eof-object </item>
29 <item> eq </item>
30 <item> eqv </item>
31 <item> equal </item>
32 <item> even </item>
33 <item> input-port </item>
34 <item> integer </item>
35 <item> list </item>
36 <item> negative </item>
37 <item> null </item>
38 <item> number </item>
39 <item> odd </item>
40 <item> output-port </item>
41 <item> pair </item>
42 <item> port </item>
43 <item> positive </item>
44 <item> procedure </item>
45 <item> rational </item>
46 <item> real </item>
47 <item> symbol </item>
48 <item> vector </item>
49 <item> zero </item>
50 </list>
51 <list name="predicates2">
52 <item> char </item>
53 <item> string </item>
54 </list>
55 <list name="assignments">
56 <item> append </item>
57 <item> set </item>
58 <item> set-car </item>
59 <item> set-cdr </item>
60 <item> string-fill </item>
61 <item> string-set </item>
62 </list>
63 <list name="mathops">
64 <item> abs </item>
65 <item> and </item>
66 <item> angle </item>
67 <item> acos </item>
68 <item> asin </item>
69 <item> atan </item>
70 <item> cos </item>
71 <item> denominator </item>
72 <item> exp </item>
73 <item> expt </item>
74 <item> floor </item>
75 <item> gcd </item>
76 <item> lcm </item>
77 <item> log </item>
78 <item> magnitude </item>
79 <item> max </item>
80 <item> min </item>
81 <item> modulo </item>
82 <item> not </item>
83 <item> numerator </item>
84 <item> or </item>
85 <item> round </item>
86 <item> sin </item>
87 <item> sqrt </item>
88 <item> tan </item>
89 <item> truncate </item>
90 </list>
91 <list name="keywords">
92 <item> apply </item>
93 <item> assq </item>
94 <item> assv </item>
95 <item> assoc </item>
96 <item> call-with-current-continuation </item>
97 <item> call-with-input-file </item>
98 <item> call-with-output-file </item>
99 <item> call-with-values </item>
100 <item> car </item>
101 <item> case </item>
102 <item> cdr </item>
103 <item> ceiling </item>
104 <item> char-upcase </item>
105 <item> char-downcase </item>
106 <item> close-input-file </item>
107 <item> close-output-file </item>
108 <item> cond </item>
109 <item> cons </item>
110 <item> cons* </item>
111 <item> current-input-port </item>
112 <item> current-output-port </item>
113 <item> delay </item>
114 <item> display </item>
115 <item> dynamic-wind </item>
116 <item> else </item>
117 <item> eval </item>
118 <item> force </item>
119 <item> for-each </item>
120 <item> if </item>
121 <item> imag-part </item>
122 <item> interaction-environment </item>
123 <item> length </item>
124 <item> list </item>
125 <item> list-ref </item>
126 <item> list-tail </item>
127 <item> memq </item>
128 <item> memv </item>
129 <item> member </item>
130 <item> load </item>
131 <item> make-polar </item>
132 <item> make-rectangular </item>
133 <item> make-string </item>
134 <item> make-vector </item>
135 <item> map </item>
136 <item> newline </item>
137 <item> null-environment </item>
138 <item> open-input-file </item>
139 <item> open-output-file </item>
140 <item> peek-char </item>
141 <item> quasiquote </item>
142 <item> quote </item>
143 <item> quotient </item>
144 <item> rationalize </item>
145 <item> read </item>
146 <item> read-char </item>
147 <item> real-part </item>
148 <item> remainder </item>
149 <item> reverse </item>
150 <item> scheme-report-environment </item>
151 <item> space </item>
152 <item> string-append </item>
153 <item> string-copy </item>
154 <item> string-length </item>
155 <item> string-ref </item>
156 <item> substring </item>
157 <item> syntax-rules </item>
158 <item> transcript-on </item>
159 <item> transcript-off </item>
160 <item> unquote </item>
161 <item> unquote-splicing </item>
162 <item> values </item>
163 <item> with-input-from-file </item>
164 <item> with-output-to-file </item>
165 <item> write </item>
166 <item> write-char </item>
167 </list>
168 <contexts>
169 <context name="Intro" attribute="0" lineEndContext="0">
170 <DetectChar attribute="8" context="2" char=";"/>
171 <DetectChar attribute="9" context="1" char="("/>
172 </context>
173 <context name="Normal" attribute="0" lineEndContext="1">
174 <DetectChar attribute="8" context="2" char=";"/>
175 <DetectChar attribute="9" context="1" char="("/>
176 <DetectChar attribute="9" context="1" char=")"/>
177 <StringDetect attribute="13" context="1" String="list-&gt;string"/>
178 <StringDetect attribute="13" context="1" String="string-&gt;list"/>
179 <StringDetect attribute="13" context="1" String="char-&gt;integer"/>
180 <StringDetect attribute="13" context="1" String="integer-&gt;char"/>
181 <StringDetect attribute="13" context="1" String="string-&gt;symbol"/>
182 <StringDetect attribute="13" context="1" String="symbol-&gt;string"/>
183 <StringDetect attribute="13" context="1" String="exact-&gt;inexact"/>
184 <StringDetect attribute="13" context="1" String="inexact-&gt;exact"/>
185 <StringDetect attribute="13" context="1" String="number-&gt;string"/>
186 <StringDetect attribute="13" context="1" String="string-&gt;number"/>
187 <keyword attribute="7" context="1" String="blocks" weakDelimiter="-"/>
188 <keyword attribute="1" context="1" String="keywords" weakDelimiter="-"/>
189 <keyword attribute="1" context="3" String="predicates" weakDelimiter="-"/>
190 <keyword attribute="1" context="4" String="predicates2"/>
191 <RegExpr attribute="10" context="1" String="[&lt;&gt;]?=?\s"/>
192 <RegExpr attribute="13" context="1" String="=&gt;\s"/>
193 <keyword attribute="1" context="5" String="assignments" weakDelimiter="-"/>
194 <keyword attribute="12" context="1" String="mathops"/>
195 <DetectChar attribute="8" context="7" char="&quot;"/>
196 <StringDetect attribute="3" context="1" String="'()"/>
197 <RegExpr attribute="13" context="1" String="['`]"/>
198 <RegExpr attribute="6" context="6" String="#[bodxei]"/>
199 <RegExpr attribute="3" context="1" String="#[tf]"/>
200 <Float attribute="5" context="1"/>
201 <Int attribute="3" context="1"/>
202 </context>
203 <context name="Comment" attribute="8" lineEndContext="1"/>
204 <context name="Predicates" attribute="0" lineEndContext="1">
205 <DetectChar attribute="10" context="1" char="?"/>
206 </context>
207 <context name="Predicates2" attribute="0" lineEndContext="1">
208 <RegExpr attribute="1" context="4" String="-ci"/>
209 <RegExpr attribute="10" context="1" String="[&lt;&gt;]?=?\?"/>
210 </context>
211 <context name="Assignments" attribute="0" lineEndContext="1">
212 <DetectChar attribute="11" context="1" char="!"/>
213 </context>
214 <context name="SpecialNumber" attribute="0" lineEndContext="1">
215 <Float attribute="5" context="1"/>
216 <Int attribute="3" context="1"/>
217 <HlCOct attribute="4" context="1"/>
218 <HlCHex attribute="5" context="1"/>
219 </context>
220 <context name="String" attribute="2" lineEndContext="7">
221 <DetectChar attribute="8" context="1" char="&quot;"/>
222 </context>
223 </contexts>
224 <itemDatas>
225 <itemData name="Normal" defStyleNum="dsNormal"/>
226 <itemData name="Keyword" defStyleNum="dsKeyword"/>
227 <itemData name="Data" defStyleNum="dsDataType"/>
228 <itemData name="Decimal" defStyleNum="dsDecVal"/>
229 <itemData name="BaseN" defStyleNum="dsBaseN"/>
230 <itemData name="Float" defStyleNum="dsFloat"/>
231 <itemData name="Char" defStyleNum="dsChar"/>
232 <itemData name="String" defStyleNum="dsString"/>
233 <itemData name="Comment" defStyleNum="dsComment"/>
234 <itemData name="Operator" defStyleNum="dsOthers"/>
235 <itemData name="Predicate" defStyleNum="dsNormal" color="#ff00ff" selColor="#fffa00" bold="1" italic="0"/>
236 <itemData name="Assignments" defStyleNum="dsNormal" color="#ff0000" selColor="#fffa00" bold="1" italic="0"/>
237 <itemData name="MathOps" defStyleNum="dsNormal" color="#239bbc" selColor="#fffa00" bold="0" italic="0"/>
238 <itemData name="Special" defStyleNum="dsNormal" color="#543491" selColor="#fffa00" bold="1" italic="0"/>
239 </itemDatas>
240 </highlighting>
241 <general>
242 <comments>
243 <comment name="singleLine" start=";"/>
244 </comments>
245 <keywords casesensitive="0"/>
246 </general>
247</language>
diff --git a/share/tinykate/syntax/sgml.xml b/share/tinykate/syntax/sgml.xml
new file mode 100644
index 0000000..8dbab28
--- a/dev/null
+++ b/share/tinykate/syntax/sgml.xml
@@ -0,0 +1,47 @@
1<?xml version="1.0" encoding="UTF-8"?>
2<!DOCTYPE language SYSTEM "language.dtd">
3<language name="SGML" version="1.00" kateversion="2.0" section="Markup" extensions="*.sgml" mimetype="text/sgml">
4 <highlighting>
5 <contexts>
6 <context attribute="0" lineEndContext="#stay">
7 <StringDetect attribute="Comment" context="4" String="&lt;!--"/>
8 <RegExpr attribute="1" context="1" String="&lt;\s*\/?\s*[a-zA-Z_:][a-zA-Z0-9._:-]*" />
9 </context>
10
11 <context attribute="2" lineEndContext="#stay">
12 <Detect2Chars attribute="1" context="#push" char="/" char1="&gt;" />
13 <DetectChar attribute="1" context="#push" char="&gt;" />
14 <RegExpr attribute="0" context="2" String="\s*=\s*" />
15 </context>
16
17 <context attribute="3" lineEndContext="#stay">
18 <RegExpr attribute="3" context="#push" String="[A-Za-z0-9.-]*" />
19 <DetectChar attribute="3" context="3" char="&quot;" />
20 </context>
21
22 <context attribute="3" lineEndContext="#stay">
23 <DetectChar attribute="3" context="#pop#pop" char="&quot;" />
24 </context>
25
26 <context attribute="Comment" lineEndContext="#stay">
27 <String---Detect attribute="Comment" context="4" String="&lt;!--"/>
28 <StringDetect attribute="Comment" context="#pop" String="--&gt;"/>
29 </context>
30
31 </contexts>
32 <itemDatas>
33 <itemData name="Normal Text" defStyleNum="dsNormal"/>
34 <itemData name="Keyword" defStyleNum="dsKeyword"/>
35 <itemData name="Identifier" defStyleNum="dsOthers"/>
36 <itemData name="Types" defStyleNum="dsDataType"/>
37 <itemData name="String" defStyleNum="dsString"/>
38 <itemData name="Comment" defStyleNum="dsComment"/>
39 </itemDatas>
40 </highlighting>
41 <general>
42 <comments>
43 <comment name="multiLine" start="&lt;!--" end="//--&gt;" />
44 </comments>
45 <keywords casesensitive="0" />
46 </general>
47</language>
diff --git a/share/tinykate/syntax/sml.xml b/share/tinykate/syntax/sml.xml
new file mode 100644
index 0000000..0d882fd
--- a/dev/null
+++ b/share/tinykate/syntax/sml.xml
@@ -0,0 +1,100 @@
1<?xml version="1.0" encoding="UTF-8"?>
2<!DOCTYPE language SYSTEM "language.dtd">
3<language name="SML" version="1.00" kateversion="2.0" section="Scripts" extensions="*.sml" mimetype="">
4 <highlighting>
5 <list name="keywords">
6 <item> abstype </item>
7 <item> and </item>
8 <item> andalso </item>
9 <item> as </item>
10 <item> case </item>
11 <item> do </item>
12 <item> datatype </item>
13 <item> else </item>
14 <item> end </item>
15 <item> eqtype </item>
16 <item> exception </item>
17 <item> fn </item>
18 <item> fun </item>
19 <item> functor </item>
20 <item> handle </item>
21 <item> if </item>
22 <item> in </item>
23 <item> include </item>
24 <item> infix </item>
25 <item> infixr </item>
26 <item> let </item>
27 <item> local </item>
28 <item> nonfix </item>
29 <item> of </item>
30 <item> op </item>
31 <item> open </item>
32 <item> orelse </item>
33 <item> raise </item>
34 <item> rec </item>
35 <item> sharing </item>
36 <item> sig </item>
37 <item> signature </item>
38 <item> struct </item>
39 <item> structure </item>
40 <item> then </item>
41 <item> type </item>
42 <item> val </item>
43 <item> where </item>
44 <item> with </item>
45 <item> withtype </item>
46 <item> while </item>
47 </list>
48 <list name="types">
49 <item> unit </item>
50 <item> int </item>
51 <item> real </item>
52 <item> char </item>
53 <item> string </item>
54 <item> substring </item>
55 <item> word </item>
56 <item> ref </item>
57 <item> array </item>
58 <item> vector </item>
59 <item> bool </item>
60 <item> list </item>
61 <item> option </item>
62 <item> order </item>
63 </list>
64 <contexts>
65 <context attribute="0" lineEndContext="#stay" name="Normal">
66 <Detect2Chars attribute="6" context="1" char="(" char1="*" />
67 <DetectChar attribute="5" context="2" char="&quot;" />
68 <keyword attribute="1" context="#stay" String="keywords" />
69 <keyword attribute="2" context="#stay" String="types" />
70 <Float attribute="4" context="#stay">
71 <AnyChar String="fF" attribute="4" context="#stay"/>
72 </Float>
73 <HlCHex attribute="3" context="#stay"/>
74 <Int attribute="3" context="#stay" />
75 </context>
76 <context attribute="6" lineEndContext="#stay" name="Multiline/Inline Comment">
77 <Detect2Chars attribute="6" context="#pop" char="*" char1=")" />
78 </context>
79 <context attribute="5" lineEndContext="#stay" name="String">
80 <DetectChar attribute="5" context="#pop" char="&quot;" />
81 </context>
82 </contexts>
83 <itemDatas>
84 <itemData name="Normal Text" defStyleNum="dsNormal"/>
85 <itemData name="Keyword" defStyleNum="dsKeyword"/>
86 <itemData name="Data Type" defStyleNum="dsDataType"/>
87 <itemData name="Decimal" defStyleNum="dsDecVal"/>
88 <itemData name="Float" defStyleNum="dsFloat"/>
89 <itemData name="String" defStyleNum="dsString"/>
90 <itemData name="Comment" defStyleNum="dsComment" />
91 <itemData name="Notice" defStyleNum="dsString" />
92 </itemDatas>
93 </highlighting>
94 <general>
95 <keywords casesensitive="1" />
96 <comments>
97 <comment name="multiLine" start="(*" end="*)" />
98 </comments>
99 </general>
100</language>
diff --git a/share/tinykate/syntax/sql.xml b/share/tinykate/syntax/sql.xml
new file mode 100644
index 0000000..9d426a7
--- a/dev/null
+++ b/share/tinykate/syntax/sql.xml
@@ -0,0 +1,881 @@
1<?xml version="1.0" encoding="UTF-8"?>
2<!DOCTYPE language SYSTEM "language.dtd">
3<language name="SQL" version="1.00" kateversion="2.0" section="Other" extensions="*.sql;*.SQL" mimetype="text/x-sql">
4 <highlighting>
5 <list name="keywords">
6 <item> ACCESS </item>
7 <item> ACCOUNT </item>
8 <item> ADD </item>
9 <item> ADMIN </item>
10 <item> ADMINISTER </item>
11 <item> ADVISE </item>
12 <item> AFTER </item>
13 <item> AGENT </item>
14 <item> ALL </item>
15 <item> ALL_ROWS </item>
16 <item> ALLOCATE </item>
17 <item> ALTER </item>
18 <item> ANALYZE </item>
19 <item> ANCILLARY </item>
20 <item> AND </item>
21 <item> ANY </item>
22 <item> ARCHIVE </item>
23 <item> ARCHIVELOG </item>
24 <item> AS </item>
25 <item> ASC </item>
26 <item> ASSOCIATE </item>
27 <item> AT </item>
28 <item> ATTRIBUTE </item>
29 <item> ATTRIBUTES </item>
30 <item> AUDIT </item>
31 <item> AUTHENTICATED </item>
32 <item> AUTHID </item>
33 <item> AUTHORIZATION </item>
34 <item> AUTOALLOCATE </item>
35 <item> AUTOEXTEND </item>
36 <item> AUTOMATIC </item>
37 <item> BACKUP </item>
38 <item> BECOME </item>
39 <item> BEFORE </item>
40 <item> BEGIN </item>
41 <item> BEHALF </item>
42 <item> BETWEEN </item>
43 <item> BINDING </item>
44 <item> BITMAP </item>
45 <item> BLOCK </item>
46 <item> BLOCK_RANGE </item>
47 <item> BODY </item>
48 <item> BOUND </item>
49 <item> BOTH </item>
50 <item> BREAK </item>
51 <item> BROADCAST </item>
52 <item> BTITLE </item>
53 <item> BUFFER_POOL </item>
54 <item> BUILD </item>
55 <item> BULK </item>
56 <item> BY </item>
57 <item> CACHE </item>
58 <item> CACHE_INSTANCES </item>
59 <item> CALL </item>
60 <item> CANCEL </item>
61 <item> CASCADE </item>
62 <item> CASE </item>
63 <item> CATEGORY </item>
64 <item> CHAINED </item>
65 <item> CHANGE </item>
66 <item> CHECK </item>
67 <item> CHECKPOINT </item>
68 <item> CHILD </item>
69 <item> CHOOSE </item>
70 <item> CHUNK </item>
71 <item> CLASS </item>
72 <item> CLEAR </item>
73 <item> CLONE </item>
74 <item> CLOSE </item>
75 <item> CLOSE_CACHED_OPEN_CURSORS </item>
76 <item> CLUSTER </item>
77 <item> COALESCE </item>
78 <item> COLUMN </item>
79 <item> COLUMNS </item>
80 <item> COLUMN_VALUE </item>
81 <item> COMMENT </item>
82 <item> COMMIT </item>
83 <item> COMMITTED </item>
84 <item> COMPATIBILITY </item>
85 <item> COMPILE </item>
86 <item> COMPLETE </item>
87 <item> COMPOSITE_LIMIT </item>
88 <item> COMPRESS </item>
89 <item> COMPUTE </item>
90 <item> CONNECT </item>
91 <item> CONNECT_TIME </item>
92 <item> CONSIDER </item>
93 <item> CONSISTENT </item>
94 <item> CONSTANT </item>
95 <item> CONSTRAINT </item>
96 <item> CONSTRAINTS </item>
97 <item> CONTAINER </item>
98 <item> CONTENTS </item>
99 <item> CONTEXT </item>
100 <item> CONTINUE </item>
101 <item> CONTROLFILE </item>
102 <item> COPY </item>
103 <item> COST </item>
104 <item> CPU_PER_CALL </item>
105 <item> CPU_PER_SESSION </item>
106 <item> CREATE </item>
107 <item> CREATE_STORED_OUTLINES </item>
108 <item> CUBE </item>
109 <item> CURRENT </item>
110 <item> CURSOR </item>
111 <item> CYCLE </item>
112 <item> DANGLING </item>
113 <item> DATA </item>
114 <item> DATABASE </item>
115 <item> DATAFILE </item>
116 <item> DATAFILES </item>
117 <item> DAY </item>
118 <item> DBA </item>
119 <item> DDL </item>
120 <item> DEALLOCATE </item>
121 <item> DEBUG </item>
122 <item> DECLARE </item>
123 <item> DEFAULT </item>
124 <item> DEFERRABLE </item>
125 <item> DEFERRED </item>
126 <item> DEFINER </item>
127 <item> DEGREE </item>
128 <item> DELETE </item>
129 <item> DEMAND </item>
130 <item> DESC </item>
131 <item> DETERMINES </item>
132 <item> DICTIONARY </item>
133 <item> DIMENSION </item>
134 <item> DIRECTORY </item>
135 <item> DISABLE </item>
136 <item> DISASSOCIATE </item>
137 <item> DISCONNECT </item>
138 <item> DISMOUNT </item>
139 <item> DISTINCT </item>
140 <item> DISTRIBUTED </item>
141 <item> DROP </item>
142 <item> DYNAMIC </item>
143 <item> EACH </item>
144 <item> ELSE </item>
145 <item> ENABLE </item>
146 <item> END </item>
147 <item> ENFORCE </item>
148 <item> ENTRY </item>
149 <item> ESCAPE </item>
150 <item> ESTIMATE </item>
151 <item> EVENTS </item>
152 <item> EXCEPT </item>
153 <item> EXCEPTION </item>
154 <item> EXCEPTIONS </item>
155 <item> EXCHANGE </item>
156 <item> EXCLUDING </item>
157 <item> EXCLUSIVE </item>
158 <item> EXEC </item>
159 <item> EXECUTE </item>
160 <item> EXISTS </item>
161 <item> EXPIRE </item>
162 <item> EXPLAIN </item>
163 <item> EXPLOSION </item>
164 <item> EXTENDS </item>
165 <item> EXTENT </item>
166 <item> EXTENTS </item>
167 <item> EXTERNALLY </item>
168 <item> FAILED_LOGIN_ATTEMPTS </item>
169 <item> FALSE </item>
170 <item> FAST </item>
171 <item> FILE </item>
172 <item> FILTER </item>
173 <item> FIRST_ROWS </item>
174 <item> FLAGGER </item>
175 <item> FLUSH </item>
176 <item> FOLLOWING </item>
177 <item> FOR </item>
178 <item> FORCE </item>
179 <item> FOREIGN </item>
180 <item> FREELIST </item>
181 <item> FREELISTS </item>
182 <item> FRESH </item>
183 <item> FROM </item>
184 <item> FULL </item>
185 <item> FUNCTION </item>
186 <item> FUNCTIONS </item>
187 <item> GENERATED </item>
188 <item> GLOBAL </item>
189 <item> GLOBALLY </item>
190 <item> GLOBAL_NAME </item>
191 <item> GRANT </item>
192 <item> GROUP </item>
193 <item> GROUPS </item>
194 <item> HASH </item>
195 <item> HASHKEYS </item>
196 <item> HAVING </item>
197 <item> HEADER </item>
198 <item> HEAP </item>
199 <item> HIERARCHY </item>
200 <item> HOUR </item>
201 <item> ID </item>
202 <item> IDENTIFIED </item>
203 <item> IDENTIFIER </item>
204 <item> IDGENERATORS </item>
205 <item> IDLE_TIME </item>
206 <item> IF </item>
207 <item> IMMEDIATE </item>
208 <item> IN </item>
209 <item> INCLUDING </item>
210 <item> INCREMENT </item>
211 <item> INCREMENTAL </item>
212 <item> INDEX </item>
213 <item> INDEXED </item>
214 <item> INDEXES </item>
215 <item> INDEXTYPE </item>
216 <item> INDEXTYPES </item>
217 <item> INDICATOR </item>
218 <item> INITIAL </item>
219 <item> INITIALIZED </item>
220 <item> INITIALLY </item>
221 <item> INITRANS </item>
222 <item> INSERT </item>
223 <item> INSTANCE </item>
224 <item> INSTANCES </item>
225 <item> INSTEAD </item>
226 <item> INTERMEDIATE </item>
227 <item> INTERSECT </item>
228 <item> INTERVAL </item>
229 <item> INTO </item>
230 <item> INVALIDATE </item>
231 <item> IS </item>
232 <item> ISOLATION </item>
233 <item> ISOLATION_LEVEL </item>
234 <item> JAVA </item>
235 <item> JOIN </item>
236 <item> KEEP </item>
237 <item> KEY </item>
238 <item> KILL </item>
239 <item> LABEL </item>
240 <item> LAYER </item>
241 <item> LEADING </item>
242 <item> LESS </item>
243 <item> LEVEL </item>
244 <item> LIBRARY </item>
245 <item> LIKE </item>
246 <item> LIMIT </item>
247 <item> LINK </item>
248 <item> LIST </item>
249 <item> LOCAL </item>
250 <item> LOCATOR </item>
251 <item> LOCK </item>
252 <item> LOCKED </item>
253 <item> LOGFILE </item>
254 <item> LOGGING </item>
255 <item> LOGICAL_READS_PER_CALL </item>
256 <item> LOGICAL_READS_PER_SESSION </item>
257 <item> LOGOFF </item>
258 <item> LOGON </item>
259 <item> MANAGE </item>
260 <item> MANAGED </item>
261 <item> MANAGEMENT </item>
262 <item> MASTER </item>
263 <item> MATERIALIZED </item>
264 <item> MAXARCHLOGS </item>
265 <item> MAXDATAFILES </item>
266 <item> MAXEXTENTS </item>
267 <item> MAXINSTANCES </item>
268 <item> MAXLOGFILES </item>
269 <item> MAXLOGHISTORY </item>
270 <item> MAXLOGMEMBERS </item>
271 <item> MAXSIZE </item>
272 <item> MAXTRANS </item>
273 <item> MAXVALUE </item>
274 <item> METHOD </item>
275 <item> MEMBER </item>
276 <item> MERGE </item>
277 <item> MINIMIZE </item>
278 <item> MINIMUM </item>
279 <item> MINEXTENTS </item>
280 <item> MINUS </item>
281 <item> MINUTE </item>
282 <item> MINVALUE </item>
283 <item> MODE </item>
284 <item> MODIFY </item>
285 <item> MONITORING </item>
286 <item> MONTH </item>
287 <item> MOUNT </item>
288 <item> MOVE </item>
289 <item> MOVEMENT </item>
290 <item> MTS_DISPATCHERS </item>
291 <item> MULTISET </item>
292 <item> NAMED </item>
293 <item> NEEDED </item>
294 <item> NESTED </item>
295 <item> NESTED_TABLE_ID </item>
296 <item> NETWORK </item>
297 <item> NEVER </item>
298 <item> NEW </item>
299 <item> NEXT </item>
300 <item> NLS_CALENDAR </item>
301 <item> NLS_CHARACTERSET </item>
302 <item> NLS_COMP </item>
303 <item> NLS_CURRENCY </item>
304 <item> NLS_DATE_FORMAT </item>
305 <item> NLS_DATE_LANGUAGE </item>
306 <item> NLS_ISO_CURRENCY </item>
307 <item> NLS_LANG </item>
308 <item> NLS_LANGUAGE </item>
309 <item> NLS_NUMERIC_CHARACTERS </item>
310 <item> NLS_SORT </item>
311 <item> NLS_SPECIAL_CHARS </item>
312 <item> NLS_TERRITORY </item>
313 <item> NO </item>
314 <item> NOARCHIVELOG </item>
315 <item> NOAUDIT </item>
316 <item> NOCACHE </item>
317 <item> NOCOMPRESS </item>
318 <item> NOCYCLE </item>
319 <item> NOFORCE </item>
320 <item> NOLOGGING </item>
321 <item> NOMAXVALUE </item>
322 <item> NOMINIMIZE </item>
323 <item> NOMINVALUE </item>
324 <item> NOMONITORING </item>
325 <item> NONE </item>
326 <item> NOORDER </item>
327 <item> NOOVERRIDE </item>
328 <item> NOPARALLEL </item>
329 <item> NORELY </item>
330 <item> NORESETLOGS </item>
331 <item> NOREVERSE </item>
332 <item> NORMAL </item>
333 <item> NOSEGMENT </item>
334 <item> NOSORT </item>
335 <item> NOT </item>
336 <item> NOTHING </item>
337 <item> NOVALIDATE </item>
338 <item> NOWAIT </item>
339 <item> NULL </item>
340 <item> NULLS </item>
341 <item> OBJNO </item>
342 <item> OBJNO_REUSE </item>
343 <item> OF </item>
344 <item> OFF </item>
345 <item> OFFLINE </item>
346 <item> OID </item>
347 <item> OIDINDEX </item>
348 <item> OLD </item>
349 <item> ON </item>
350 <item> ONLINE </item>
351 <item> ONLY </item>
352 <item> OPCODE </item>
353 <item> OPEN </item>
354 <item> OPERATOR </item>
355 <item> OPTIMAL </item>
356 <item> OPTIMIZER_GOAL </item>
357 <item> OPTION </item>
358 <item> OR </item>
359 <item> ORDER </item>
360 <item> ORGANIZATION </item>
361 <item> OUT </item>
362 <item> OUTLINE </item>
363 <item> OVER </item>
364 <item> OVERFLOW </item>
365 <item> OVERLAPS </item>
366 <item> OWN </item>
367 <item> PACKAGE </item>
368 <item> PACKAGES </item>
369 <item> PARALLEL </item>
370 <item> PARAMETERS </item>
371 <item> PARENT </item>
372 <item> PARTITION </item>
373 <item> PARTITIONS </item>
374 <item> PARTITION_HASH </item>
375 <item> PARTITION_RANGE </item>
376 <item> PASSWORD </item>
377 <item> PASSWORD_GRACE_TIME </item>
378 <item> PASSWORD_LIFE_TIME </item>
379 <item> PASSWORD_LOCK_TIME </item>
380 <item> PASSWORD_REUSE_MAX </item>
381 <item> PASSWORD_REUSE_TIME </item>
382 <item> PASSWORD_VERIFY_FUNCTION </item>
383 <item> PCTFREE </item>
384 <item> PCTINCREASE </item>
385 <item> PCTTHRESHOLD </item>
386 <item> PCTUSED </item>
387 <item> PCTVERSION </item>
388 <item> PERCENT </item>
389 <item> PERMANENT </item>
390 <item> PLAN </item>
391 <item> PLSQL_DEBUG </item>
392 <item> POST_TRANSACTION </item>
393 <item> PREBUILT </item>
394 <item> PRECEDING </item>
395 <item> PREPARE </item>
396 <item> PRESERVE </item>
397 <item> PRIMARY </item>
398 <item> PRIOR </item>
399 <item> PRIVATE </item>
400 <item> PRIVATE_SGA </item>
401 <item> PRIVILEGE </item>
402 <item> PRIVILEGES </item>
403 <item> PROCEDURE </item>
404 <item> PROFILE </item>
405 <item> PUBLIC </item>
406 <item> PURGE </item>
407 <item> QUERY </item>
408 <item> QUEUE </item>
409 <item> QUOTA </item>
410 <item> RANDOM </item>
411 <item> RANGE </item>
412 <item> RBA </item>
413 <item> READ </item>
414 <item> READS </item>
415 <item> REBUILD </item>
416 <item> RECORDS_PER_BLOCK </item>
417 <item> RECOVER </item>
418 <item> RECOVERABLE </item>
419 <item> RECOVERY </item>
420 <item> RECYCLE </item>
421 <item> REDUCED </item>
422 <item> REFERENCES </item>
423 <item> REFERENCING </item>
424 <item> REFRESH </item>
425 <item> RELY </item>
426 <item> RENAME </item>
427 <item> RESET </item>
428 <item> RESETLOGS </item>
429 <item> RESIZE </item>
430 <item> RESOLVE </item>
431 <item> RESOLVER </item>
432 <item> RESOURCE </item>
433 <item> RESTRICT </item>
434 <item> RESTRICTED </item>
435 <item> RESUME </item>
436 <item> RETURN </item>
437 <item> RETURNING </item>
438 <item> REUSE </item>
439 <item> REVERSE </item>
440 <item> REVOKE </item>
441 <item> REWRITE </item>
442 <item> ROLE </item>
443 <item> ROLES </item>
444 <item> ROLLBACK </item>
445 <item> ROLLUP </item>
446 <item> ROW </item>
447 <item> ROWNUM </item>
448 <item> ROWS </item>
449 <item> RULE </item>
450 <item> SAMPLE </item>
451 <item> SAVEPOINT </item>
452 <item> SCAN </item>
453 <item> SCAN_INSTANCES </item>
454 <item> SCHEMA </item>
455 <item> SCN </item>
456 <item> SCOPE </item>
457 <item> SD_ALL </item>
458 <item> SD_INHIBIT </item>
459 <item> SD_SHOW </item>
460 <item> SECOND </item>
461 <item> SEGMENT </item>
462 <item> SEG_BLOCK </item>
463 <item> SEG_FILE </item>
464 <item> SELECT </item>
465 <item> SELECTIVITY </item>
466 <item> SEQUENCE </item>
467 <item> SERIALIZABLE </item>
468 <item> SERVERERROR </item>
469 <item> SESSION </item>
470 <item> SESSION_CACHED_CURSORS </item>
471 <item> SESSIONS_PER_USER </item>
472 <item> SET </item>
473 <item> SHARE </item>
474 <item> SHARED </item>
475 <item> SHARED_POOL </item>
476 <item> SHRINK </item>
477 <item> SHUTDOWN </item>
478 <item> SINGLETASK </item>
479 <item> SIZE </item>
480 <item> SKIP </item>
481 <item> SKIP_UNUSABLE_INDEXES </item>
482 <item> SNAPSHOT </item>
483 <item> SOME </item>
484 <item> SORT </item>
485 <item> SOURCE </item>
486 <item> SPECIFICATION </item>
487 <item> SPLIT </item>
488 <item> SQL_TRACE </item>
489 <item> STANDBY </item>
490 <item> START </item>
491 <item> STARTUP </item>
492 <item> STATEMENT_ID </item>
493 <item> STATISTICS </item>
494 <item> STATIC </item>
495 <item> STOP </item>
496 <item> STORAGE </item>
497 <item> STORE </item>
498 <item> STRUCTURE </item>
499 <item> SUBPARTITION </item>
500 <item> SUBPARTITIONS </item>
501 <item> SUCCESSFUL </item>
502 <item> SUMMARY </item>
503 <item> SUSPEND </item>
504 <item> SWITCH </item>
505 <item> SYS_OP_BITVEC </item>
506 <item> SYS_OP_ENFORCE_NOT_NULL$ </item>
507 <item> SYS_OP_NOEXPAND </item>
508 <item> SYS_OP_NTCIMG$ </item>
509 <item> SYNONYM </item>
510 <item> SYSDBA </item>
511 <item> SYSOPER </item>
512 <item> SYSTEM </item>
513 <item> TABLE </item>
514 <item> TABLES </item>
515 <item> TABLESPACE </item>
516 <item> TABLESPACE_NO </item>
517 <item> TABNO </item>
518 <item> TEMPFILE </item>
519 <item> TEMPORARY </item>
520 <item> THAN </item>
521 <item> THE </item>
522 <item> THEN </item>
523 <item> THREAD </item>
524 <item> THROUGH </item>
525 <item> TIMEOUT </item>
526 <item> TIMEZONE_HOUR </item>
527 <item> TIMEZONE_MINUTE </item>
528 <item> TIME_ZONE </item>
529 <item> TO </item>
530 <item> TOPLEVEL </item>
531 <item> TRACE </item>
532 <item> TRACING </item>
533 <item> TRAILING </item>
534 <item> TRANSACTION </item>
535 <item> TRANSITIONAL </item>
536 <item> TRIGGER </item>
537 <item> TRIGGERS </item>
538 <item> TRUE </item>
539 <item> TRUNCATE </item>
540 <item> TYPE </item>
541 <item> TYPES </item>
542 <item> UNARCHIVED </item>
543 <item> UNBOUND </item>
544 <item> UNBOUNDED </item>
545 <item> UNDO </item>
546 <item> UNIFORM </item>
547 <item> UNION </item>
548 <item> UNIQUE </item>
549 <item> UNLIMITED </item>
550 <item> UNLOCK </item>
551 <item> UNRECOVERABLE </item>
552 <item> UNTIL </item>
553 <item> UNUSABLE </item>
554 <item> UNUSED </item>
555 <item> UPD_INDEXES </item>
556 <item> UPDATABLE </item>
557 <item> UPDATE </item>
558 <item> UPPPER </item>
559 <item> USAGE </item>
560 <item> USE </item>
561 <item> USE_STORED_OUTLINES </item>
562 <item> USER_DEFINED </item>
563 <item> USING </item>
564 <item> VALIDATE </item>
565 <item> VALIDATION </item>
566 <item> VALUES </item>
567 <item> VIEW </item>
568 <item> WHEN </item>
569 <item> WHENEVER </item>
570 <item> WHERE </item>
571 <item> WITH </item>
572 <item> WITHOUT </item>
573 <item> WORK </item>
574 <item> WRITE </item>
575 <item> YEAR </item>
576 <item> ZONE </item>
577 </list>
578    <list name="operators">
579      <item> + </item>
580      <item> - </item>
581      <item> * </item>
582      <item> / </item>
583      <item> || </item>
584      <item> = </item>
585      <item> != </item>
586      <item> &lt;&gt; </item>
587      <item> &lt; </item>
588      <item> &lt;= </item>
589      <item> &gt; </item>
590      <item> &gt;= </item>
591      <item> ~= </item>
592      <item> ^= </item>
593      <item> := </item>
594      <item> =&gt; </item>
595      <item> ** </item>
596      <item> .. </item>
597     </list>
598 <list name="functions">
599 <item> ABS </item>
600 <item> ACOS </item>
601 <item> ADD_MONTHS </item>
602 <item> ASCII </item>
603 <item> ASCIISTR </item>
604 <item> ASIN </item>
605 <item> ATAN </item>
606 <item> ATAN2 </item>
607 <item> AVG </item>
608 <item> BFILENAME </item>
609 <item> BIN_TO_NUM </item>
610 <item> BITAND </item>
611 <item> CAST </item>
612 <item> CEIL </item>
613 <item> CHARTOROWID </item>
614 <item> CHR </item>
615 <item> COALESCE </item>
616 <item> COMPOSE </item>
617 <item> CONCAT </item>
618 <item> CONVERT </item>
619 <item> CORR </item>
620 <item> COS </item>
621 <item> COSH </item>
622 <item> COUNT </item>
623 <item> COVAR_POP </item>
624 <item> COVAR_SAMP </item>
625 <item> CUME_DIST </item>
626 <item> CURRENT_DATE </item>
627 <item> CURRENT_TIMESTAMP </item>
628 <item> DBTIMEZONE </item>
629 <item> DECODE </item>
630 <item> DECOMPOSE </item>
631 <item> DENSE_RANK </item>
632 <item> DEREF </item>
633 <item> DUMP </item>
634 <item> EMPTY_BLOB </item>
635 <item> EMPTY_CLOB </item>
636 <item> EXISTSNODE </item>
637 <item> EXP </item>
638 <item> EXTRACT </item>
639 <item> FIRST </item>
640 <item> FIRST_VALUE </item>
641 <item> FLOOR </item>
642 <item> FROM_TZ </item>
643 <item> GREATEST </item>
644 <item> GROUP_ID </item>
645 <item> GROUPING </item>
646 <item> GROUPING_ID </item>
647 <item> HEXTORAW </item>
648 <item> INITCAP </item>
649 <item> INSTR </item>
650 <item> INSTRB </item>
651 <item> LAG </item>
652 <item> LAST </item>
653 <item> LAST_DAY </item>
654 <item> LAST_VALUE </item>
655 <item> LEAD </item>
656 <item> LEAST </item>
657 <item> LENGTH </item>
658 <item> LENGTHB </item>
659 <item> LN </item>
660 <item> LOCALTIMESTAMP </item>
661 <item> LOG </item>
662 <item> LOWER </item>
663 <item> LPAD </item>
664 <item> LTRIM </item>
665 <item> MAKE_REF </item>
666 <item> MAX </item>
667 <item> MIN </item>
668 <item> MOD </item>
669 <item> MONTHS_BETWEEN </item>
670 <item> NCHR </item>
671 <item> NEW_TIME </item>
672 <item> NEXT_DAY </item>
673 <item> NLS_CHARSET_DECL_LEN </item>
674 <item> NLS_CHARSET_ID </item>
675 <item> NLS_CHARSET_NAME </item>
676 <item> NLS_INITCAP </item>
677 <item> NLS_LOWER </item>
678 <item> NLS_UPPER </item>
679 <item> NLSSORT </item>
680 <item> NTILE </item>
681 <item> NULLIF </item>
682 <item> NUMTODSINTERVAL </item>
683 <item> NUMTOYMINTERVAL </item>
684 <item> NVL </item>
685 <item> NVL2 </item>
686 <item> PERCENT_RANK </item>
687 <item> PERCENTILE_CONT </item>
688 <item> PERCENTILE_DISC </item>
689 <item> POWER </item>
690 <item> RANK </item>
691 <item> RATIO_TO_REPORT </item>
692 <item> RAWTOHEX </item>
693 <item> REF </item>
694 <item> REFTOHEX </item>
695 <item> REGR_SLOPE </item>
696 <item> REGR_INTERCEPT </item>
697 <item> REGR_COUNT </item>
698 <item> REGR_R2 </item>
699 <item> REGR_AVGX </item>
700 <item> REGR_AVGY </item>
701 <item> REGR_SXX </item>
702 <item> REGR_SYY </item>
703 <item> REGR_SXY </item>
704 <item> REPLACE </item>
705 <item> ROUND </item>
706 <item> ROW_NUMBER </item>
707 <item> ROWIDTOCHAR </item>
708 <item> ROWIDTONCHAR </item>
709 <item> RPAD </item>
710 <item> RTRIM </item>
711 <item> SESSIONTIMEZONE </item>
712 <item> SIGN </item>
713 <item> SIN </item>
714 <item> SINH </item>
715 <item> SOUNDEX </item>
716 <item> SUBSTR </item>
717 <item> SQRT </item>
718 <item> STDDEV </item>
719 <item> STDDEV_POP </item>
720 <item> STDDEV_SAMP </item>
721 <item> SUBSTR </item>
722 <item> SUBSTRB </item>
723 <item> SUM </item>
724 <item> SYS_CONNECT_BY_PATH </item>
725 <item> SYS_CONTEXT </item>
726 <item> SYS_DBURIGEN </item>
727 <item> SYS_EXTRACT_UTC </item>
728 <item> SYS_GUID </item>
729 <item> SYS_TYPEID </item>
730 <item> SYS_XMLAGG </item>
731 <item> SYS_XMLGEN </item>
732 <item> SYSDATE </item>
733 <item> SYSTIMESTAMP </item>
734 <item> TAN </item>
735 <item> TANH </item>
736 <item> TO_CHAR </item>
737 <item> TO_CLOB </item>
738 <item> TO_DATE </item>
739 <item> TO_DSINTERVAL </item>
740 <item> TO_LOB </item>
741 <item> TO_MULTI_BYTE </item>
742 <item> TO_NCHAR </item>
743 <item> TO_NCLOB </item>
744 <item> TO_NUMBER </item>
745 <item> TO_SINGLE_BYTE </item>
746 <item> TO_TIMESTAMP </item>
747 <item> TO_TIMESTAMP_TZ </item>
748 <item> TO_YMINTERVAL </item>
749 <item> TRANSLATE </item>
750 <item> TREAT </item>
751 <item> TRIM </item>
752 <item> TRUNC </item>
753 <item> TZ_OFFSET </item>
754 <item> UID </item>
755 <item> UNISTR </item>
756 <item> UPPER </item>
757 <item> USER </item>
758 <item> USERENV </item>
759 <item> VALUE </item>
760 <item> VAR_POP </item>
761 <item> VAR_SAMP </item>
762 <item> VARIANCE </item>
763 <item> VSIZE </item>
764 <item> WIDTH_BUCKET </item>
765 </list>
766 <list name="types">
767 <item> ANYDATA </item>
768 <item> ANYDATASET </item>
769 <item> ANYTYPE </item>
770 <item> ARRAY </item>
771 <item> BFILE </item>
772 <item> BINARY_INTEGER </item>
773 <item> BLOB </item>
774 <item> BOOLEAN </item>
775 <item> CFILE </item>
776 <item> CHAR </item>
777 <item> CHARACTER </item>
778 <item> CLOB </item>
779 <item> DATE </item>
780 <item> DBURITYPE </item>
781 <item> DEC </item>
782 <item> DECIMAL </item>
783 <item> DOUBLE </item>
784 <item> FLOAT </item>
785 <item> FLOB </item>
786 <item> HTTPURITYPE </item>
787 <item> INT </item>
788 <item> INTEGER </item>
789 <item> LOB </item>
790 <item> LONG </item>
791 <item> MLSLABEL </item>
792 <item> NATIONAL </item>
793 <item> NCHAR </item>
794 <item> NCLOB </item>
795 <item> NUMBER </item>
796 <item> NUMERIC </item>
797 <item> NVARCHAR2 </item>
798 <item> OBJECT </item>
799 <item> PLS_INTEGER </item>
800 <item> PRECISION </item>
801 <item> RAW </item>
802 <item> RECORD </item>
803 <item> REAL </item>
804 <item> ROWID </item>
805 <item> SINGLE </item>
806 <item> SMALLINT </item>
807 <item> TIMESTAMP </item>
808 <item> TIME </item>
809 <item> URIFACTORYTYPE </item>
810 <item> URITYPE </item>
811 <item> UROWID </item>
812 <item> VARCHAR </item>
813 <item> VARCHAR2 </item>
814 <item> VARYING </item>
815 <item> VARRAY </item>
816 <item> XMLTYPE </item>
817 </list>
818 <contexts>
819 <context name="Normal Text" attribute="0" lineEndContext="0">
820 <keyword attribute="1" context="0" String="keywords"/>
821 <keyword attribute="2" context="0" String="operators"/>
822 <keyword attribute="3" context="0" String="functions"/>
823 <keyword attribute="4" context="0" String="types"/>
824 <RegExpr attribute="4" context="0" String="%[Bb][Uu][Ll][Kk]_[Ee][Xx][Cc][Ee][Pp][Tt][Ii][Oo][Nn][Ss]\b"/>
825 <RegExpr attribute="4" context="0" String="%[Bb][Uu][Ll][Kk]_[Rr][Oo][Ww][Cc][Oo][Uu][Nn][Tt]\b"/>
826 <RegExpr attribute="4" context="0" String="%[Ff][Oo][Uu][Nn][Dd]\b"/>
827 <RegExpr attribute="4" context="0" String="%[Ii][Ss][Oo][Pp][Ee][Nn]\b"/>
828 <RegExpr attribute="4" context="0" String="%[Nn][Oo][Tt][Ff][Oo][Uu][Nn][Dd]\b"/>
829 <RegExpr attribute="4" context="0" String="%[Rr][Oo][Ww][Cc][Oo][Uu][Nn][Tt]\b"/>
830 <RegExpr attribute="4" context="0" String="%[Rr][Oo][Ww][Tt][Yy][Pp][Ee]\b"/>
831 <RegExpr attribute="4" context="0" String="%[Tt][Yy][Pp][Ee]\b"/>
832 <Float attribute="6" context="0"/>
833 <Int attribute="5" context="0"/>
834 <DetectChar attribute="7" context="1" char="'"/>
835 <Detect2Chars attribute="8" context="2" char="-" char1="-"/>
836 <Detect2Chars attribute="8" context="3" char="/" char1="*"/>
837 <RegExpr attribute="8" context="4" String="^[Rr][Ee][Mm]\b"/>
838 <DetectChar attribute="9" context="5" char="&quot;"/>
839 <AnyChar attribute="10" context="0" String=":&#38;"/>
840 <RegExpr attribute="10" context="0" String="^/$"/>
841 <RegExpr attribute="11" context="6" String="^@@?[^@ \t\r\n]"/>
842 </context>
843 <context name="String" attribute="7" lineEndContext="1">
844 <LineContinue attribute="7" context="0"/>
845 <DetectChar attribute="10" context="1" char="&#38;"/>
846 <DetectChar attribute="7" context="0" char="'"/>
847 </context>
848 <context name="Comment" attribute="8" lineEndContext="0"/>
849 <context name="Comment" attribute="8" lineEndContext="3">
850 <LineContinue attribute="8" context="0"/>
851 <Detect2Chars attribute="8" context="0" char="*" char1="/"/>
852 </context>
853 <context name="Comment" attribute="8" lineEndContext="0"/>
854 <context name="Identifier" attribute="9" lineEndContext="0">
855 <DetectChar attribute="9" context="0" char="&quot;"/>
856 </context>
857 <context name="Preprocessor" attribute="11" lineEndContext="0"/>
858 </contexts>
859 <itemDatas>
860 <itemData name="Normal Text" defStyleNum="dsNormal"/>
861 <itemData name="Keyword" defStyleNum="dsKeyword"/>
862 <itemData name="Operator" defStyleNum="dsNormal"/>
863 <itemData name="Function" defStyleNum="dsKeyword"/>
864 <itemData name="Data Type" defStyleNum="dsDataType"/>
865 <itemData name="Decimal" defStyleNum="dsDecVal"/>
866 <itemData name="Float" defStyleNum="dsFloat"/>
867 <itemData name="String" defStyleNum="dsString"/>
868 <itemData name="Comment" defStyleNum="dsComment"/>
869 <itemData name="Identifier" defStyleNum="dsOthers"/>
870 <itemData name="Symbol" defStyleNum="dsChar"/>
871 <itemData name="Preprocessor" defStyleNum="dsOthers"/>
872 </itemDatas>
873 </highlighting>
874 <general>
875 <comments>
876 <comment name="singleLine" start="--"/>
877 <comment name="multiLine" start="/*" end="*/"/>
878 </comments>
879 <keywords casesensitive="0"/>
880 </general>
881</language>
diff --git a/share/tinykate/syntax/tcl.xml b/share/tinykate/syntax/tcl.xml
new file mode 100644
index 0000000..8fa9d06
--- a/dev/null
+++ b/share/tinykate/syntax/tcl.xml
@@ -0,0 +1,226 @@
1<?xml version="1.0" encoding="UTF-8"?>
2<!DOCTYPE language SYSTEM "language.dtd">
3
4<!-- Author: Alexander Dederer -->
5<!-- Copyright: BSD copyright -->
6<!-- Ported to Kate 2.0/ KWrite 4.0 by Joseph Wenninger -->
7
8<language name="TCL/TK" version="1.00" kateversion="2.0" section="Scripts" extensions="*.tcl;*.tk" mimetype="text/x-tcl">
9 <highlighting>
10 <list name="keywords">
11 <item> after </item>
12 <item> append </item>
13 <item> AppleScript </item>
14 <item> argv </item>
15 <item> argc </item>
16 <item> array </item>
17 <item> auto_execk </item>
18 <item> auto_load </item>
19 <item> auto_mkindex </item>
20 <item> auto_path </item>
21 <item> auto_reset </item>
22 <item> beep </item>
23 <item> bell </item>
24 <item> binary </item>
25 <item> bind </item>
26 <item> bindtags </item>
27 <item> bgerror </item>
28 <item> break </item>
29 <item> button </item>
30 <item> canvas </item>
31 <item> case </item>
32 <item> catch </item>
33 <item> cd </item>
34 <item> checkbutton </item>
35 <item> clipboard </item>
36 <item> clock </item>
37 <item> close </item>
38 <item> concat </item>
39 <item> console </item>
40 <item> continue </item>
41 <item> dde </item>
42 <item> destroy </item>
43 <item> encoding </item>
44 <item> entry </item>
45 <item> env </item>
46 <item> eof </item>
47 <item> error </item>
48 <item> errorCode </item>
49 <item> errorInfo </item>
50 <item> eval </item>
51 <item> event </item>
52 <item> exec </item>
53 <item> exit </item>
54 <item> expr </item>
55 <item> fblocked </item>
56 <item> fconfigure </item>
57 <item> fcopy </item>
58 <item> file </item>
59 <item> fileevent </item>
60 <item> flush </item>
61 <item> focus </item>
62 <item> font </item>
63 <item> for </item>
64 <item> foreach </item>
65 <item> format </item>
66 <item> frame </item>
67 <item> gets </item>
68 <item> glob </item>
69 <item> global </item>
70 <item> grab </item>
71 <item> grid </item>
72 <item> history </item>
73 <item> if </item>
74 <item> image </item>
75 <item> incr </item>
76 <item> info </item>
77 <item> interp </item>
78 <item> join </item>
79 <item> label </item>
80 <item> lappend </item>
81 <item> lindex </item>
82 <item> linsert </item>
83 <item> list </item>
84 <item> listbox </item>
85 <item> llength </item>
86 <item> load </item>
87 <item> lower </item>
88 <item> lrange </item>
89 <item> lreplace </item>
90 <item> lsearch </item>
91 <item> lsort </item>
92 <item> menu </item>
93 <item> menubutton </item>
94 <item> message </item>
95 <item> namespace </item>
96 <item> open </item>
97 <item> option </item>
98 <item> OptProc </item>
99 <item> pack </item>
100 <item> package </item>
101 <item> parray </item>
102 <item> pid </item>
103 <item> place </item>
104 <item> pkg_mkindex </item>
105 <item> proc </item>
106 <item> puts </item>
107 <item> pwd </item>
108 <item> radiobutton </item>
109 <item> raise </item>
110 <item> read </item>
111 <item> regexp </item>
112 <item> registry </item>
113 <item> regsub </item>
114 <item> rename </item>
115 <item> resource </item>
116 <item> return </item>
117 <item> scale </item>
118 <item> scan </item>
119 <item> scrollbar </item>
120 <item> seek </item>
121 <item> selection </item>
122 <item> send </item>
123 <item> set </item>
124 <item> socket </item>
125 <item> source </item>
126 <item> split </item>
127 <item> string </item>
128 <item> subst </item>
129 <item> switch </item>
130 <item> tclLog </item>
131 <item> tcl_endOfWord </item>
132 <item> tcl_findLibrary </item>
133 <item> tcl_library </item>
134 <item> tcl_patchLevel </item>
135 <item> tcl_platform </item>
136 <item> tcl_precision </item>
137 <item> tcl_rcFileName </item>
138 <item> tcl_rcRsrcName </item>
139 <item> tcl_startOfNextWord </item>
140 <item> tcl_startOfPreviousWord </item>
141 <item> tcl_traceCompile </item>
142 <item> tcl_traceExec </item>
143 <item> tcl_version </item>
144 <item> tcl_wordBreakAfter </item>
145 <item> tcl_wordBreakBefore </item>
146 <item> tell </item>
147 <item> text </item>
148 <item> time </item>
149 <item> tk </item>
150 <item> tkTabToWindow </item>
151 <item> tkwait </item>
152 <item> tk_chooseColor </item>
153 <item> tk_chooseDirectory </item>
154 <item> tk_focusFollowMouse </item>
155 <item> tk_focusNext </item>
156 <item> tk_focusPrev </item>
157 <item> tk_getOpenFile </item>
158 <item> tk_getSaveFile </item>
159 <item> tk_library </item>
160 <item> tk_messageBox </item>
161 <item> tk_optionMenu </item>
162 <item> tk_patchLevel </item>
163 <item> tk_popup </item>
164 <item> tk_strictMotif </item>
165 <item> tk_version </item>
166 <item> toplevel </item>
167 <item> trace </item>
168 <item> unknown </item>
169 <item> unset </item>
170 <item> update </item>
171 <item> uplevel </item>
172 <item> upvar </item>
173 <item> variable </item>
174 <item> vwait </item>
175 <item> while </item>
176 <item> winfo </item>
177 <item> wm </item>
178 </list>
179 <contexts>
180 <context name = "Base" attribute = "0" lineEndContext="#stay">
181 <keyword String = "keywords" attribute = "1" context="#stay"/>
182 <RegExpr String = "$[0-9]+" attribute = "8" context="#stay"/>
183 <AnyChar String = "=" attribute = "9" context="#stay"/>
184 <RegExpr String = "-\w+" attribute = "9" content="#stay"/>
185 <DetectChar char = '"' attribute = "5" context="#stay"/>
186 <DetectChar char="`" attribute = "7" context = "2"/>
187 <RegExpr String= "#.*$" attribute = "6" context="#stay"/>
188 <DetectChar char = '{' attribute = "1" context="#stay"/>
189 <DetectChar char = '}' attribute = "1" context="#stay"/>
190 <DetectChar char = '[' attribute = "1" context="#stay"/>
191 <DetectChar char = ']' attribute = "1" context="#stay"/>
192 </context>
193
194 <context name = "String" attribute = "5" lineEndContext="1">
195 <HlCChar attribute = "4" context = "#stay"/>
196 <DetectChar char = '"' attribute = "5" context = "#pop"/>
197 <DetectChar char = '{' attribute = "1" context="#pop"/>
198 <DetectChar char = '}' attribute = "1" context="#pop"/>
199 <DetectChar char = '[' attribute = "1" context="#pop"/>
200 <DetectChar char = ']' attribute = "1" context="#pop"/>
201 </context>
202
203 <context name = "Substitution" attribute = "7" lineEndContext="#stay">
204 <DetectChar char = "`" attribute = "7" context = "#pop"/>
205 </context>
206 </contexts>
207 <itemDatas>
208 <itemData name = "Normal Text" defStyleNum = "dsNormal"/>
209 <itemData name = "Keyword" defStyleNum = "dsKeyword"/>
210 <itemData name = "Decimal" defStyleNum = "dsDecVal"/>
211 <itemData name = "Float" defStyleNum = "dsFloat"/>
212 <itemData name = "Char" defStyleNum = "dsChar"/>
213 <itemData name = "String" defStyleNum = "dsString"/>
214 <itemData name = "Comment" defStyleNum = "dsComment"/>
215 <itemData name = "Substitution" defStyleNum = "dsOthers" color="#00ff00" selcolor="#ff0000"/>
216 <itemData name = "Parameter" defStyleNum = "dsOthers"/>
217 <itemData name = "Operator" defStyleNum = "dsOthers"/>
218 </itemDatas>
219 </highlighting>
220 <general>
221 <comments>
222 <comment name="singleLine" start="#" />
223 </comments>
224 <keywords casesensitive="1" />
225 </general>
226</highlighting>
diff --git a/share/tinykate/syntax/verilog.xml b/share/tinykate/syntax/verilog.xml
new file mode 100644
index 0000000..7484227
--- a/dev/null
+++ b/share/tinykate/syntax/verilog.xml
@@ -0,0 +1,229 @@
1<?xml version="1.0" encoding="UTF-8"?>
2<!DOCTYPE language SYSTEM "language.dtd">
3<!-- author: Yevgen Voronenko (ysv22@drexel.edu) -->
4<!-- $Id$ -->
5<language name="Verilog" version="1.2" kateversion="2.0" section="Sources" extensions="*.v;*.V;*.vl" mimetype="text/x-verilog-src">
6 <highlighting>
7 <list name="keywords">
8 <item> module </item>
9 <item> macromodule </item>
10 <item> endmodule </item>
11 <item> task </item>
12 <item> endtask </item>
13 <item> function </item>
14 <item> endfunction </item>
15 <item> table </item>
16 <item> endtable </item>
17 <item> specify </item>
18 <item> specparam </item>
19 <item> endspecify </item>
20 <item> case </item>
21 <item> casex </item>
22 <item> casez </item>
23 <item> endcase </item>
24 <item> fork </item>
25 <item> join </item>
26
27 <item> defparam </item>
28 <item> default </item>
29 <item> begin </item>
30 <item> end </item>
31 <item> if </item>
32 <item> ifnone </item>
33 <item> else </item>
34 <item> forever </item>
35 <item> while </item>
36 <item> for </item>
37 <item> wait </item>
38 <item> repeat </item>
39 <item> disable </item>
40
41 <item> assign </item>
42 <item> deassign </item>
43 <item> force </item>
44 <item> release </item>
45
46 <item> always </item>
47 <item> initial </item>
48 <item> edge </item>
49 <item> posedge </item>
50 <item> negedge </item>
51 </list>
52
53 <list name="strength">
54 <!-- drive strength supply0/supply1 omitted, its in types.. -->
55 <item> strong0 </item>
56 <item> strong1 </item>
57 <item> pull0 </item>
58 <item> pull1 </item>
59 <item> weak0 </item>
60 <item> weak1 </item>
61 <item> highz0 </item>
62 <item> highz1 </item>
63 <!-- charge strength -->
64 <item> small </item>
65 <item> medium </item>
66 <item> large </item>
67 </list>
68
69 <list name="gates">
70 <item> pullup </item>
71 <item> pulldown </item>
72 <item> cmos </item>
73 <item> rcmos </item>
74 <item> nmos </item>
75 <item> pmos </item>
76 <item> rnmos </item>
77 <item> rpmos </item>
78 <item> and </item>
79 <item> nand </item>
80 <item> or </item>
81 <item> nor </item>
82 <item> xor </item>
83 <item> xnor </item>
84 <item> not </item>
85 <item> buf </item>
86 <item> tran </item>
87 <item> rtran </item>
88 <item> tranif0 </item>
89 <item> tranif1 </item>
90 <item> rtranif0 </item>
91 <item> rtranif1 </item>
92 <item> bufif0 </item>
93 <item> bufif1 </item>
94 <item> notif0 </item>
95 <item> notif1 </item>
96 </list>
97
98 <list name="types">
99 <!-- port direction -->
100 <item> input </item>
101 <item> output </item>
102 <item> inout </item>
103 <!-- net type -->
104 <item> wire </item>
105 <item> tri </item>
106 <item> tri0 </item>
107 <item> tri1 </item>
108 <item> wand </item>
109 <item> wor </item>
110 <item> triand </item>
111 <item> trior </item>
112 <item> supply0 </item>
113 <item> supply1 </item>
114 <!-- reg/variable -->
115 <item> reg </item>
116 <item> integer </item>
117 <item> real </item>
118 <item> realtime </item>
119 <item> time </item>
120 <!-- modifier -->
121 <item> vectored </item>
122 <item> scalared </item>
123 <item> trireg </item>
124 <!-- other -->
125 <item> parameter </item>
126 <item> event </item>
127 </list>
128
129 <contexts>
130 <context attribute="0" lineEndContext="0" name="Normal">
131 <RegExpr attribute="1" context="9" String="begin\ *:"/>
132 <keyword attribute="1" context="0" String="keywords" />
133 <keyword attribute="2" context="0" String="types" />
134 <keyword attribute="18" context="0" String="strength" />
135 <keyword attribute="19" context="0" String="gates" />
136
137 <RegExpr attribute="0" context="0" String="[a-zA-Z]+[a-zA-Z0-9_$]*"/>
138 <RegExpr attribute="0" context="0" String="\\[^ ]+ "/>
139
140 <RegExpr attribute="3" context="0" String="[0-9_]*'d[0-9_]+"/>
141 <RegExpr attribute="4" context="0" String="[0-9_]*'o[0-7xXzZ_]+"/>
142 <RegExpr attribute="5" context="0" String="[0-9_]*'h[0-9a-fA-FxXzZ_]+"/>
143 <RegExpr attribute="6" context="0" String="[0-9_]*'b[01_zZxX]+"/>
144 <Float attribute="7" context="0"/>
145 <Int attribute="15" context="0" />
146
147 <RegExpr attribute="20" context="0" String="[^a-zA-Z0-9_$]\.[a-zA-Z]+[a-zA-Z0-9_$]*"/>
148
149 <DetectChar attribute="8" context="1" char="&quot;"/>
150 <Detect2Chars attribute="10" context="2" char="/" char1="/"/>
151 <Detect2Chars attribute="10" context="3" char="/" char1="*"/>
152 <AnyChar attribute="11" context="0" String="!%&amp;()+,-&lt;=+/:;&gt;?[]^{|}~@"/>
153
154 <StringDetect attribute="10" context="8" String="#if 0" insensitive="FALSE"/>
155
156 <RegExpr attribute="12" context="4" String="^`"/>
157 <RegExpr attribute="12" context="0" String="\`[a-zA-Z_]+[a-zA-Z0-9_]*" />
158 <RegExpr attribute="14" context="0" String="\$[a-zA-Z_]+[a-zA-Z0-9_]*" />
159 <RegExpr attribute="16" context="0" String="#[0-9_]+" />
160 </context>
161 <context attribute="8" lineEndContext="0" name="String">
162 <LineContinue attribute="8" context="6"/>
163 <HlCStringChar attribute="9" context="1"/>
164 <DetectChar attribute="8" context="0" char="&quot;"/>
165 </context>
166 <context attribute="10" lineEndContext="0" name="Commentar 1">
167 <RegExpr attribute="3" context="2" String="(FIXME|TODO)" />
168 </context>
169 <context attribute="10" lineEndContext="3" name="Commentar 2">
170 <RegExpr attribute="3" context="3" String="(FIXME|TODO)" />
171 <Detect2Chars attribute="10" context="0" char="*" char1="/"/>
172 </context>
173 <context attribute="12" lineEndContext="0" name="Preprocessor">
174 <LineContinue attribute="12" context="7"/>
175 <RangeDetect attribute="13" context="4" char="&quot;" char1="&quot;"/>
176 <RangeDetect attribute="13" context="4" char="&lt;" char1="&gt;"/>
177 <Detect2Chars attribute="10" context="2" char="/" char1="/"/>
178 <Detect2Chars attribute="10" context="5" char="/" char1="*"/>
179 </context>
180 <context attribute="10" lineEndContext="5" name="Commentar/Preprocessor">
181 <Detect2Chars attribute="10" context="4" char="*" char1="/" />
182 </context>
183 <context attribute="0" lineEndContext="1" name="Some Context"/>
184 <context attribute="0" lineEndContext="4" name="Some Context2"/>
185 <context attribute="10" lineEndContext="8">
186 <RegExpr attribute="3" context="8" String="(FIXME|TODO)" />
187 <RegExpr attribute="10" context="0" String="^#endif" />
188 </context>
189
190 <context attribute="17" lineEndContext="0" name="Block name">
191 <RegExpr attribute="2" context="0" String="[^ ]+"/>
192 </context>
193 </contexts>
194
195 <itemDatas>
196 <itemData name="Normal Text" defStyleNum="dsNormal"/>
197 <itemData name="Keyword" defStyleNum="dsKeyword"/>
198 <itemData name="Data Type" defStyleNum="dsDataType"/>
199
200 <itemData name="Decimal" defStyleNum="dsBaseN"/>
201 <itemData name="Octal" defStyleNum="dsBaseN"/>
202 <itemData name="Hex" defStyleNum="dsBaseN"/>
203 <itemData name="Binary" defStyleNum="dsBaseN"/>
204 <itemData name="Float" defStyleNum="dsFloat"/>
205
206 <itemData name="String" defStyleNum="dsString"/>
207 <itemData name="String Char" defStyleNum="dsChar"/>
208 <itemData name="Comment" defStyleNum="dsComment"/>
209 <itemData name="Symbol" defStyleNum="dsNormal"/>
210 <itemData name="Preprocessor" defStyleNum="dsOthers"/>
211 <itemData name="Prep. Lib" defStyleNum="dsFloat"/>
212 <itemData name="System Task" defStyleNum="dsDataType"/>
213
214 <itemData name="Integer" defStyleNum="dsDecVal"/>
215 <itemData name="Delay" defStyleNum="dsBaseN"/>
216 <itemData name="Block name" defStyleNum="dsDataType"/>
217 <itemData name="Drive/charge strength" defStyleNum="dsBaseN"/>
218 <itemData name="Gate instantiation" defStyleNum="dsDataType"/>
219 <itemData name="Port connection" defStyleNum="dsDataType"/>
220 </itemDatas>
221 </highlighting>
222 <general>
223 <comments>
224 <comment name="singleLine" start="//" />
225 <comment name="multiLine" start="/*" end="*/" />
226 </comments>
227 <keywords casesensitive="1" />
228 </general>
229</language>
diff --git a/share/tinykate/syntax/vhdl.xml b/share/tinykate/syntax/vhdl.xml
new file mode 100644
index 0000000..9dba7d3
--- a/dev/null
+++ b/share/tinykate/syntax/vhdl.xml
@@ -0,0 +1,284 @@
1<?xml version="1.0" encoding="UTF-8"?>
2<!DOCTYPE language SYSTEM "language.dtd">
3<language name="VHDL" version="1.00" kateversion="2.0" section="Sources" extensions="*.vdhl;*.vhd" mimetype="text/x-vhdl">
4 <highlighting>
5 <list name="keywords">
6 <item> access </item>
7 <item> after </item>
8 <item> alias </item>
9 <item> all </item>
10 <item> assert </item>
11 <item> architecture </item>
12 <item> begin </item>
13 <item> block </item>
14 <item> body </item>
15 <item> buffer </item>
16 <item> bus </item>
17 <item> case </item>
18 <item> component </item>
19 <item> configuration </item>
20 <item> constant </item>
21 <item> disconnect </item>
22 <item> downto </item>
23 <item> else </item>
24 <item> elsif </item>
25 <item> end </item>
26 <item> entity </item>
27 <item> exit </item>
28 <item> file </item>
29 <item> for </item>
30 <item> function </item>
31 <item> generate </item>
32 <item> generic </item>
33 <item> group </item>
34 <item> guarded </item>
35 <item> if </item>
36 <item> impure </item>
37 <item> in </item>
38 <item> inertial </item>
39 <item> inout </item>
40 <item> is </item>
41 <item> label </item>
42 <item> library </item>
43 <item> linkage </item>
44 <item> literal </item>
45 <item> loop </item>
46 <item> map </item>
47 <item> new </item>
48 <item> next </item>
49 <item> null </item>
50 <item> of </item>
51 <item> on </item>
52 <item> open </item>
53 <item> others </item>
54 <item> out </item>
55 <item> package </item>
56 <item> port </item>
57 <item> postponed </item>
58 <item> procedure </item>
59 <item> process </item>
60 <item> pure </item>
61 <item> range </item>
62 <item> record </item>
63 <item> register </item>
64 <item> reject </item>
65 <item> report </item>
66 <item> return </item>
67 <item> select </item>
68 <item> severity </item>
69 <item> signal </item>
70 <item> shared </item>
71 <item> subtype </item>
72 <item> then </item>
73 <item> to </item>
74 <item> transport </item>
75 <item> type </item>
76 <item> unaffected </item>
77 <item> units </item>
78 <item> until </item>
79 <item> use </item>
80 <item> variable </item>
81 <item> wait </item>
82 <item> when </item>
83 <item> while </item>
84 <item> with </item>
85 <item> note </item>
86 <item> warning </item>
87 <item> error </item>
88 <item> failure </item>
89 <item> ACCESS </item>
90 <item> AFTER </item>
91 <item> ALIAS </item>
92 <item> ALL </item>
93 <item> ASSERT </item>
94 <item> ARCHITECTURE </item>
95 <item> BEGIN </item>
96 <item> BLOCK </item>
97 <item> BODY </item>
98 <item> BUFFER </item>
99 <item> BUS </item>
100 <item> CASE </item>
101 <item> COMPONENT </item>
102 <item> CONFIGURATION </item>
103 <item> CONSTANT </item>
104 <item> DISCONNECT </item>
105 <item> DOWNTO </item>
106 <item> ELSE </item>
107 <item> ELSIF </item>
108 <item> END </item>
109 <item> ENTITY </item>
110 <item> EXIT </item>
111 <item> FILE </item>
112 <item> FOR </item>
113 <item> FUNCTION </item>
114 <item> GENERATE </item>
115 <item> GENERIC </item>
116 <item> GROUP </item>
117 <item> GUARDED </item>
118 <item> IF </item>
119 <item> IMPURE </item>
120 <item> IN </item>
121 <item> INERTIAL </item>
122 <item> INOUT </item>
123 <item> IS </item>
124 <item> LABEL </item>
125 <item> LIBRARY </item>
126 <item> LINKAGE </item>
127 <item> LITERAL </item>
128 <item> LOOP </item>
129 <item> MAP </item>
130 <item> NEW </item>
131 <item> NEXT </item>
132 <item> NULL </item>
133 <item> OF </item>
134 <item> ON </item>
135 <item> OPEN </item>
136 <item> OTHERS </item>
137 <item> OUT </item>
138 <item> PACKAGE </item>
139 <item> PORT </item>
140 <item> POSTPONED </item>
141 <item> PROCEDURE </item>
142 <item> PROCESS </item>
143 <item> PURE </item>
144 <item> RANGE </item>
145 <item> RECORD </item>
146 <item> REGISTER </item>
147 <item> REJECT </item>
148 <item> REPORT </item>
149 <item> RETURN </item>
150 <item> SELECT </item>
151 <item> SEVERITY </item>
152 <item> SIGNAL </item>
153 <item> SHARED </item>
154 <item> SUBTYPE </item>
155 <item> THEN </item>
156 <item> TO </item>
157 <item> TRANSPORT </item>
158 <item> TYPE </item>
159 <item> UNAFFECTED </item>
160 <item> UNITS </item>
161 <item> UNTIL </item>
162 <item> USE </item>
163 <item> VARIABLE </item>
164 <item> WAIT </item>
165 <item> WHEN </item>
166 <item> WHILE </item>
167 <item> WITH </item>
168 <item> NOTE </item>
169 <item> WARNING </item>
170 <item> ERROR </item>
171 <item> FAILURE </item>
172 <item> and </item>
173 <item> or </item>
174 <item> xor </item>
175 <item> not </item>
176 <item> AND </item>
177 <item> OR </item>
178 <item> XOR </item>
179 <item> NOT </item>
180 </list>
181 <list name="types">
182 <item> bit </item>
183 <item> bit_vector </item>
184 <item> character </item>
185 <item> boolean </item>
186 <item> integer </item>
187 <item> real </item>
188 <item> time </item>
189 <item> string </item>
190 <item> severity_level </item>
191 <item> positive </item>
192 <item> natural </item>
193 <item> signed </item>
194 <item> unsigned </item>
195 <item> line </item>
196 <item> text </item>
197 <item> std_logic </item>
198 <item> std_logic_vector </item>
199 <item> std_ulogic </item>
200 <item> std_ulogic_vector </item>
201 <item> qsim_state </item>
202 <item> qsim_state_vector </item>
203 <item> qsim_12state </item>
204 <item> qsim_12state_vector </item>
205 <item> qsim_strength </item>
206 <item> mux_bit </item>
207 <item> mux_vector </item>
208 <item> reg_bit </item>
209 <item> reg_vector </item>
210 <item> wor_bit </item>
211 <item> wor_vector </item>
212 <item> BIT </item>
213 <item> BIT_VECTOR </item>
214 <item> CHARACTER </item>
215 <item> BOOLEAN </item>
216 <item> INTEGER </item>
217 <item> REAL </item>
218 <item> TIME </item>
219 <item> STRING </item>
220 <item> SEVERITY_LEVEL </item>
221 <item> POSITIVE </item>
222 <item> NATURAL </item>
223 <item> SIGNED </item>
224 <item> UNSIGNED </item>
225 <item> LINE </item>
226 <item> TEXT </item>
227 <item> STD_LOGIC </item>
228 <item> STD_LOGIC_VECTOR </item>
229 <item> STD_ULOGIC </item>
230 <item> STD_ULOGIC_VECTOR </item>
231 <item> QSIM_STATE </item>
232 <item> QSIM_STATE_VECTOR </item>
233 <item> QSIM_12STATE </item>
234 <item> QSIM_12STATE_VECTOR </item>
235 <item> QSIM_STRENGTH </item>
236 <item> MUX_BIT </item>
237 <item> MUX_VECTOR </item>
238 <item> REG_BIT </item>
239 <item> REG_VECTOR </item>
240 <item> WOR_BIT </item>
241 <item> WOR_VECTOR </item>
242 </list>
243 <contexts>
244 <context name="normal" attribute="0" lineEndContext="0">
245 <keyword attribute="1" context="0" String="keywords"/>
246 <keyword attribute="2" context="0" String="types"/>
247 <Detect2Chars attribute="3" context="1" char="-"
248 char1="-" />
249 <Int attribute="4" context="0" />
250 <HlCChar attribute="5" context="0" />
251 <DetectChar attribute="6" context="2" char="&quot;" />
252 <AnyChar attribute="7" context="0" String="[&amp;&gt;&lt;=:+\-*\/|]().,;" />
253 <DetectChar attribute="8" context="3" char="'" />
254 </context>
255 <context name="comment" attribute="3" lineEndContext="0" />
256 <context name="string" attribute="6" lineEndContext="2" >
257 <DetectChar attribute="6" context="0" char="&quot;" />
258 </context>
259 <context name="attribute" attribute="8" lineEndContext="0">
260 <DetectChar attribute="8" context="4" char="&quot;" />
261 <DetectChar attribute="0" context="0" char=" " />
262 <AnyChar attribute="8" context="0" String=")=&lt;&gt;" />
263 </context>
264 <context name="quot in att" attribute="8" lineEndContext="4">
265 <DetectChar attribute="8" context="3" char="&quot;" />
266 </context>
267 </contexts>
268 <itemDatas>
269 <itemData name="Normal Text" defStyleNum="dsNormal" />
270 <itemData name="Keyword" defStyleNum="dsKeyword" />
271 <itemData name="Data Type" defStyleNum="dsDataType" />
272 <itemData name="Comment" defStyleNum="dsComment" />
273 <itemData name="Integer" defStyleNum="dsDecVal" />
274 <itemData name="Bit" defStyleNum="dsChar" />
275 <itemData name="Vector" defStyleNum="dsString" />
276 <itemData name="Operator" defStyleNum="dsOthers" />
277 <itemData name="Attribute" defStyleNum="dsBaseN" />
278 </itemDatas>
279 </highlighting>
280 <general>
281 <keywords casesensitive="1" />
282 </general>
283</language>
284
diff --git a/share/tinykate/syntax/winehq.xml b/share/tinykate/syntax/winehq.xml
new file mode 100644
index 0000000..3306de4
--- a/dev/null
+++ b/share/tinykate/syntax/winehq.xml
@@ -0,0 +1,35 @@
1<?xml version="1.0" encoding="UTF-8"?>
2<!DOCTYPE language SYSTEM "language.dtd">
3<language name="WINE Config" section="Other" extensions="*.reg" mimetype="">
4 <highlighting>
5 <contexts>
6 <context attribute="0" lineEndContext="0" name="Normal">
7 <RegExpr String="WINE REGISTRY Version.*$" attribute="7" context="0" />
8 <RegExpr String="^#\s*&lt;\s*wineconf\s*&gt;" attribute="7" context="0" />
9 <RegExpr String="^#\s*&lt;\s*\/\s*wineconf\s*&gt;" attribute="7" context="0" />
10 <RegExpr String="^\[.*\]$" attribute="Section" context="0"/>
11 <RegExpr String=";.*$" attribute="4" context ="0"/>
12
13 <RegExpr String="\s*&quot;\s*[a-zA-Z0-9_.:*]*\s*&quot;" attribute="2" context="0" />
14
15 <DetectChar char="=" attribute="0" context="1"/>
16 </context>
17
18 <context attribute="0" lineEndContext="0" name="Value">
19 <RegExpr String="\s*&quot;.*&quot;" attribute="3" context="1" />
20 <RegExpr String=";.*$" attribute="4" context="1"/>
21 </context>
22
23 </contexts>
24 <itemDatas>
25 <itemData name="Normal Text" defStyleNum="dsNormal"/>
26 <itemData name="Section" defStyleNum="dsKeyword"/>
27 <itemData name="Key" defStyleNum="dsDataType"/>
28 <itemData name="Value" defStyleNum="dsNormal" color="#0000FF" selColor="#ffffff" italic="0" bold="1"/>
29 <itemData name="Comment" defStyleNum="dsComment"/>
30 <itemData name="ValueFilesystem1" defStyleNum="dsNormal" color="#00AA00" selColor="#ffffff" italic="0" bold="1"/>
31 <itemData name="ValueFilesystem2" defStyleNum="dsNormal" color="#FF0000" selColor="#ffffff" italic="0" bold="1"/>
32 <itemData name="RegistryBeginEnd" defStyleNum="dsNormal" color="#00AAAA" selColor="#ffffff" italic="0" bold="1"/>
33 </itemDatas>
34 </highlighting>
35</language>
diff --git a/share/tinykate/syntax/xml.xml b/share/tinykate/syntax/xml.xml
new file mode 100644
index 0000000..1167432
--- a/dev/null
+++ b/share/tinykate/syntax/xml.xml
@@ -0,0 +1,47 @@
1<?xml version="1.0" encoding="UTF-8"?>
2<!DOCTYPE language SYSTEM "language.dtd">
3<language name="XML" section="Markup" extensions="*.docbook;*.xml;*.rc" mimetype="text/xml;text/book">
4 <highlighting>
5 <contexts>
6 <context attribute="0" lineEndContext="#stay">
7 <StringDetect attribute="Comment" context="4" String="&lt;!--"/>
8 <RegExpr attribute="1" context="1" String="&lt;\s*\/?\s*[a-zA-Z_:][a-zA-Z0-9._:-]*" />
9 </context>
10
11 <context attribute="2" lineEndContext="#stay">
12 <Detect2Chars attribute="1" context="#push" char="/" char1="&gt;" />
13 <DetectChar attribute="1" context="#push" char="&gt;" />
14 <RegExpr attribute="0" context="2" String="\s*=\s*" />
15 </context>
16
17 <context attribute="3" lineEndContext="#stay">
18 <RegExpr attribute="3" context="#push" String="[A-Za-z0-9.-]*" />
19 <DetectChar attribute="3" context="3" char="&quot;" />
20 </context>
21
22 <context attribute="3" lineEndContext="#stay">
23 <DetectChar attribute="3" context="#pop#pop" char="&quot;" />
24 </context>
25
26 <context attribute="Comment" lineEndContext="#stay">
27 <String---Detect attribute="Comment" context="4" String="&lt;!--"/>
28 <StringDetect attribute="Comment" context="#pop" String="--&gt;"/>
29 </context>
30
31 </contexts>
32 <itemDatas>
33 <itemData name="Normal Text" defStyleNum="dsNormal"/>
34 <itemData name="Keyword" defStyleNum="dsKeyword"/>
35 <itemData name="Identifier" defStyleNum="dsOthers"/>
36 <itemData name="Types" defStyleNum="dsDataType"/>
37 <itemData name="String" defStyleNum="dsString"/>
38 <itemData name="Comment" defStyleNum="dsComment"/>
39 </itemDatas>
40 </highlighting>
41 <general>
42 <comments>
43 <comment name="multiLine" start="&lt;!--" end="//--&gt;" />
44 </comments>
45 <keywords casesensitive="0" />
46 </general>
47</language>
diff --git a/share/tinykate/syntax/xmldebug.xml b/share/tinykate/syntax/xmldebug.xml
new file mode 100644
index 0000000..4177856
--- a/dev/null
+++ b/share/tinykate/syntax/xmldebug.xml
@@ -0,0 +1,592 @@
1<?xml version="1.0" encoding="UTF-8"?>
2<!DOCTYPE language SYSTEM "language.dtd">
3<language name="XML (Debug)" section="Markup" extensions="" mimetype="">
4 <highlighting>
5 <list name="AttType">
6 <item>CDATA</item>
7 <item>ID</item>
8 <item>IDREF</item>
9 <item>IDREFS</item>
10 <item>ENTITY</item>
11 <item>ENTITIES</item>
12 <item>NMTOKEN</item>
13 <item>NMTOKENS</item>
14 </list>
15 <contexts>
16
17 <context name="0:prolog" attribute="Error" lineEndContext="0">
18 <RegExpr attribute="Doctype Declaration" context="1" String="&lt;\?xml(\s+|$)"/>
19 </context>
20
21 <context name="1:XMLDecl Version" attribute="Error" lineEndContext="#stay">
22 <RegExpr attribute="Attribute" context="2" String="\s*version\s*"/>
23 <RegExpr attribute="Doctype Declaration" String="\s+"/>
24 </context>
25
26 <context name="2:XMLDecl Version Eq" attribute="Error" lineEndContext="5">
27 <RegExpr attribute="Attribute" context="3" String="\s*=\s*"/>
28 <RegExpr attribute="Attribute" context="#stay" String="\s+"/>
29 </context>
30
31 <context name="3:XMLDecl Version" attribute="Error" lineEndContext="#stay">
32 <RegExpr attribute="Value" context="4" String="\s*(&quot;[A-Za-z0-9:._-]*&quot;|'[A-Za-z0-9:._-]*')(?!e)\s*"/>
33 <RegExpr attribute="Attribute" context="#stay" String="\s+"/>
34 </context>
35
36 <context name="4:XMLDecl Encoding" attribute="Error" lineEndContext="#stay">
37 <RegExpr attribute="Attribute" context="5" String="\s*encoding\s*"/>
38 <RegExpr attribute="Doctype Declaration" context="#stay" String="\s+"/>
39 <RegExpr attribute="Doctype Declaration" context="11" String="\?>"/>
40 </context>
41
42 <context name="5:XMLDecl Encoding Eq" attribute="Error" lineEndContext="#stay">
43 <RegExpr attribute="Attribute" context="6" String="\s*=\s*"/>
44 <RegExpr attribute="Attribute" context="#stay" String="\s+"/>
45 </context>
46
47 <context name="6:XMLDecl Encoding" attribute="Error" lineEndContext="#stay">
48 <RegExpr attribute="Value" context="7" String="\s*(&quot;[A-Za-z][A-Za-z0-9._-]*&quot;|'[A-Za-z][A-Za-z0-9._-]*')(?!s)\s*"/>
49 <RegExpr attribute="Attribute" context="#stay" String="\s+"/>
50 </context>
51
52 <context name="7:XMLDecl Standalone" attribute="Error" lineEndContext="#stay">
53 <RegExpr attribute="Attribute" context="8" String="\s*standalone\s*"/>
54 <RegExpr attribute="Doctype Declaration" context="#stay" String="\s+"/>
55 <RegExpr attribute="Doctype Declaration" context="11" String="\?>"/>
56 </context>
57
58 <context name="8:XMLDecl Standalone Eq" attribute="Error" lineEndContext="#stay">
59 <RegExpr attribute="Attribute" context="9" String="\s*=\s*"/>
60 <RegExpr attribute="Attribute" context="#stay" String="\s+"/>
61 </context>
62
63 <context name="9:XMLDecl Standalone" attribute="Error" lineEndContext="#stay">
64 <RegExpr attribute="Value" context="10" String="\s*&quot;(yes|no)&quot;|'(yes|no)'\s*"/>
65 <RegExpr attribute="Attribute" context="#stay" String="\s+"/>
66 </context>
67
68 <context name="10:XMLDecl Standalone" attribute="Error" lineEndContext="#stay">
69 <RegExpr attribute="Doctype Declaration" context="#stay" String="\s+"/>
70 <RegExpr attribute="Doctype Declaration" context="11" String="\?>"/>
71 </context>
72
73 <context name="11:Misc after XMLDecl" attribute="Error" lineEndContext="#stay">
74 <RegExpr attribute="Normal Text" context="#stay" String="\s+"/>
75 <RegExpr attribute="Comment" context="12" String="\s*&lt;!--\s*"/>
76 <RegExpr attribute="Processing Instruction" context="13" String="\s*&lt;\?xml-stylesheet(\s+|$)"/>
77 <RegExpr attribute="Error" context="13" String="\s*&lt;\??[xX][mM][lL]"/>
78 <RegExpr attribute="Processing Instruction" context="13" String="\s*&lt;\?[a-zA-Z_][a-zA-Z0-9_-]*(:[a-zA-Z0-9_-]*)?(\s+|$)"/>
79 <RegExpr attribute="Doctype Declaration" context="14" String="&lt;!DOCTYPE(\s+|$)"/>
80 <RegExpr attribute="Error" context="80" String="&lt;[xX][mM][lL](\w|[_.-])*(:(\w|[_.-])+)?"/>
81 <RegExpr attribute="Normal Tag" context="80" String="&lt;(?![&#x0660;-&#x0669;&#x06F0;-&#x06F9;&#x0966;-&#x096F;&#x09E6;-&#x09EF;&#x0A66;-&#x0A6F;&#x0AE6;-&#x0AEF;&#x0B66;-&#x0B6F;&#x0BE7;-&#x0BEF;&#x0C66;-&#x0C6F;&#x0CE6;-&#x0CEF;&#x0D66;-&#x0D6F;&#x0E50;-&#x0E59;&#x0ED0;-&#x0ED9;&#x0F20;-&#x0F29;]|\d)(\w|_)(\w|[_.-])*(:(\w|[_.-])+)?"/>
82 <RegExpr attribute="Error" context="80" String="&lt;(?![&#x0660;-&#x0669;&#x06F0;-&#x06F9;&#x0966;-&#x096F;&#x09E6;-&#x09EF;&#x0A66;-&#x0A6F;&#x0AE6;-&#x0AEF;&#x0B66;-&#x0B6F;&#x0BE7;-&#x0BEF;&#x0C66;-&#x0C6F;&#x0CE6;-&#x0CEF;&#x0D66;-&#x0D6F;&#x0E50;-&#x0E59;&#x0ED0;-&#x0ED9;&#x0F20;-&#x0F29;]|\d)(\w|[:_])(\w|[:_.-])*"/>
83 <RegExpr attribute="Error" context="85" String="&lt;/[xX][mM][lL](\w|[_.-])*(:(\w|[_.-])+)?"/>
84 <RegExpr attribute="Normal Tag" context="85" String="&lt;/(?![&#x0660;-&#x0669;&#x06F0;-&#x06F9;&#x0966;-&#x096F;&#x09E6;-&#x09EF;&#x0A66;-&#x0A6F;&#x0AE6;-&#x0AEF;&#x0B66;-&#x0B6F;&#x0BE7;-&#x0BEF;&#x0C66;-&#x0C6F;&#x0CE6;-&#x0CEF;&#x0D66;-&#x0D6F;&#x0E50;-&#x0E59;&#x0ED0;-&#x0ED9;&#x0F20;-&#x0F29;]|\d)(\w|_)(\w|[_.-])*(:(\w|[_.-])+)?"/>
85 <RegExpr attribute="Error" context="85" String="&lt;/(?![&#x0660;-&#x0669;&#x06F0;-&#x06F9;&#x0966;-&#x096F;&#x09E6;-&#x09EF;&#x0A66;-&#x0A6F;&#x0AE6;-&#x0AEF;&#x0B66;-&#x0B6F;&#x0BE7;-&#x0BEF;&#x0C66;-&#x0C6F;&#x0CE6;-&#x0CEF;&#x0D66;-&#x0D6F;&#x0E50;-&#x0E59;&#x0ED0;-&#x0ED9;&#x0F20;-&#x0F29;]|\d)(\w|[:_])(\w|[:_.-])*"/>
86 <RegExpr attribute="Entity" context="79" String="&amp;(?![&#x0660;-&#x0669;&#x06F0;-&#x06F9;&#x0966;-&#x096F;&#x09E6;-&#x09EF;&#x0A66;-&#x0A6F;&#x0AE6;-&#x0AEF;&#x0B66;-&#x0B6F;&#x0BE7;-&#x0BEF;&#x0C66;-&#x0C6F;&#x0CE6;-&#x0CEF;&#x0D66;-&#x0D6F;&#x0E50;-&#x0E59;&#x0ED0;-&#x0ED9;&#x0F20;-&#x0F29;]|\d)(\w|[_:])(\w|[_:.-])*;"/>
87 <RegExpr attribute="Entity" context="79" String="&amp;#(x[0-9a-fA-F]+|[0-9]+);"/>
88 <RegExpr attribute="Entity" context="86" String="&lt;!\[CDATA\["/>
89 <RegExpr attribute="Comment" context="87" String="&lt;!--"/>
90 <RegExpr attribute="Normal Tag" context="88" String="&lt;\?xml-stylesheet(\s|$)"/>
91 <RegExpr attribute="Error" context="88" String="&lt;\?[xX][mM][lL](\w|[_.-])*(:(\w|[_.-])+)?"/>
92 <RegExpr attribute="Normal Tag" context="88" String="&lt;\?(?![&#x0660;-&#x0669;&#x06F0;-&#x06F9;&#x0966;-&#x096F;&#x09E6;-&#x09EF;&#x0A66;-&#x0A6F;&#x0AE6;-&#x0AEF;&#x0B66;-&#x0B6F;&#x0BE7;-&#x0BEF;&#x0C66;-&#x0C6F;&#x0CE6;-&#x0CEF;&#x0D66;-&#x0D6F;&#x0E50;-&#x0E59;&#x0ED0;-&#x0ED9;&#x0F20;-&#x0F29;]|\d)(\w|_)(\w|[_.-])*(:(\w|[_.-])+)?"/>
93 <RegExpr attribute="Error" context="88" String="&lt;\?(?![&#x0660;-&#x0669;&#x06F0;-&#x06F9;&#x0966;-&#x096F;&#x09E6;-&#x09EF;&#x0A66;-&#x0A6F;&#x0AE6;-&#x0AEF;&#x0B66;-&#x0B6F;&#x0BE7;-&#x0BEF;&#x0C66;-&#x0C6F;&#x0CE6;-&#x0CEF;&#x0D66;-&#x0D6F;&#x0E50;-&#x0E59;&#x0ED0;-&#x0ED9;&#x0F20;-&#x0F29;]|\d)(\w|[:_])(\w|[:_.-])*"/>
94 <DetectChar attribute="Error" context="79" char="&lt;"/>
95 <DetectChar attribute="Error" context="79" char="&amp;"/>
96 <RegExpr attribute="Error" context="79" String="\]\]>"/>
97 </context>
98
99 <context name="12:Comment after XMLDecl" attribute="Comment" lineEndContext="#stay">
100 <RegExpr attribute="Error" context="#pop" String="--->"/>
101 <RegExpr attribute="Comment" context="#pop" String="-->"/>
102 <Detect2Chars attribute="Error" context="#stay" char="-" char1="-"/>
103 </context>
104
105 <context name="13:PI after XMLDecl" attribute="Processing Instruction Body" lineEndContext="#stay">
106 <Detect2Chars attribute="Processing Instruction" context="#pop" char="?" char1="&gt;"/>
107 </context>
108
109 <context name="14:Doctype Decl Name" attribute="Error" lineEndContext="#stay">
110 <RegExpr attribute="Doctype Declaration" context="15" String="(?![&#x0660;-&#x0669;&#x06F0;-&#x06F9;&#x0966;-&#x096F;&#x09E6;-&#x09EF;&#x0A66;-&#x0A6F;&#x0AE6;-&#x0AEF;&#x0B66;-&#x0B6F;&#x0BE7;-&#x0BEF;&#x0C66;-&#x0C6F;&#x0CE6;-&#x0CEF;&#x0D66;-&#x0D6F;&#x0E50;-&#x0E59;&#x0ED0;-&#x0ED9;&#x0F20;-&#x0F29;]|\d)(\w|[_:])(\w|[_:.-])*(\s+|$)"/>
111 <RegExpr attribute="Doctype Declaration" context="#stay" String="\s+"/>
112 </context>
113
114 <context name="15:Doctype Decl ExternalID" attribute="Error" lineEndContext="#stay">
115 <RegExpr attribute="Doctype Declaration" context="16" String="PUBLIC(\s+|$)"/>
116 <RegExpr attribute="Doctype Declaration" context="19" String="SYSTEM(\s+|$)"/>
117 <DetectChar attribute="Doctype Declaration" context="23" char="["/>
118 <DetectChar attribute="Doctype Declaration" context="?" char=">"/>
119 <RegExpr attribute="Doctype Declaration" context="#stay" String="\s+"/>
120 </context>
121
122 <context name="16:Doctype Decl PublicID" attribute="Error" lineEndContext="#stay">
123 <DetectChar attribute="Value" context="17" char="&quot;"/>
124 <DetectChar attribute="Value" context="18" char="'"/>
125 <RegExpr attribute="Doctype Declaration" context="#stay" String="\s+"/>
126 </context>
127
128 <context name="17:Doctype Decl PublicID qq" attribute="Error" lineEndContext="#stay">
129 <DetectChar attribute="Value" context="19" char="&quot;(\s+|$)"/>
130 <RegExpr attribute="Value" context="#stay" String="[&#x20;&#xD;&#xA;a-zA-Z0-9'()+,./:=?;!*#@$_%-]"/>
131 </context>
132
133 <context name="18:Doctype Decl PublicID q" attribute="Value" lineEndContext="#stay">
134 <DetectChar attribute="Value" context="19" char="'(\s+|$)"/>
135 <RegExpr attribute="Value" context="#stay" String="[&#x20;&#xD;&#xA;a-zA-Z0-9()+,./:=?;!*#@$_%-]"/>
136 </context>
137
138 <context name="19:Doctype Decl SystemID" attribute="Error" lineEndContext="#stay">
139 <DetectChar attribute="Value" context="20" char="&quot;"/>
140 <DetectChar attribute="Value" context="21" char="'"/>
141 <RegExpr attribute="Doctype Declaration" context="#stay" String="\s+"/>
142 </context>
143
144 <context name="20:Doctype Decl SystemID qq" attribute="Value" lineEndContext="#stay">
145 <DetectChar attribute="Value" context="22" char="&quot;"/>
146 </context>
147
148 <context name="21:Doctype Decl SystemID q" attribute="Value" lineEndContext="#stay">
149 <DetectChar attribute="Value" context="22" char="'"/>
150 </context>
151
152 <context name="22:Doctype Decl IS or end" attribute="Error" lineEndContext="#stay">
153 <DetectChar attribute="Doctype Declaration" context="23" char="["/>
154 <DetectChar attribute="Doctype Declaration" context="79" char=">"/>
155 <RegExpr attribute="Doctype Declaration" context="#stay" String="\s+"/>
156 </context>
157
158 <context name="23:Doctype Decl IS" attribute="Error" lineEndContext="#stay">
159 <RegExpr attribute="Entity" context="#stay" String="%(?![&#x0660;-&#x0669;&#x06F0;-&#x06F9;&#x0966;-&#x096F;&#x09E6;-&#x09EF;&#x0A66;-&#x0A6F;&#x0AE6;-&#x0AEF;&#x0B66;-&#x0B6F;&#x0BE7;-&#x0BEF;&#x0C66;-&#x0C6F;&#x0CE6;-&#x0CEF;&#x0D66;-&#x0D6F;&#x0E50;-&#x0E59;&#x0ED0;-&#x0ED9;&#x0F20;-&#x0F29;]|\d)(\w|[_:])(\w|[_:.-])*;"/>
160 <RegExpr attribute="Doctype Declaration" context="#stay" String="\s+"/>
161 <RegExpr attribute="Doctype Declaration" context="24" String="&lt;!ELEMENT(\s+|$)"/>
162 <RegExpr attribute="Doctype Declaration" context="38" String="&lt;!ATTLIST(\s+|$)"/>
163 <RegExpr attribute="Doctype Declaration" context="50" String="&lt;!ENTITY(\s+|$)"/>
164 <RegExpr attribute="Doctype Declaration" context="71" String="&lt;!NOTATION(\s+|$)"/>
165 <RegExpr attribute="Comment" context="77" String="\s*&lt;!--\s*"/>
166 <RegExpr attribute="Processing Instruction" context="78" String="\s*&lt;\?xml-stylesheet(\s+|$)"/>
167 <RegExpr attribute="Error" context="78" String="\s*&lt;\??[xX][mM][lL]"/>
168 <RegExpr attribute="Processing Instruction" context="78" String="\s*&lt;\?[a-zA-Z_][a-zA-Z0-9_-]*(:[a-zA-Z0-9_-]*)?(\s+|$)"/>
169 <Detect2Chars attribute="Doctype Declaration" context="79" char="]" char1=">"/>
170 </context>
171
172 <context name="24:elementdecl" attribute="Error" lineEndContext="#stay">
173 <RegExpr attribute="Normal Tag" context="25" String="(?![&#x0660;-&#x0669;&#x06F0;-&#x06F9;&#x0966;-&#x096F;&#x09E6;-&#x09EF;&#x0A66;-&#x0A6F;&#x0AE6;-&#x0AEF;&#x0B66;-&#x0B6F;&#x0BE7;-&#x0BEF;&#x0C66;-&#x0C6F;&#x0CE6;-&#x0CEF;&#x0D66;-&#x0D6F;&#x0E50;-&#x0E59;&#x0ED0;-&#x0ED9;&#x0F20;-&#x0F29;]|\d)(\w|[_:])(\w|[_:.-])*"/>
174 <RegExpr attribute="Doctype Declaration" context="#stay" String="\s+"/>
175 </context>
176
177 <context name="25:contentspec" attribute="Error" lineEndContext="#stay">
178 <RegExpr attribute="Attribute" context="37" String="(EMPTY|ANY)"/>
179 <DetectChar attribute="Attribute" context="26" char="("/>
180 <RegExpr attribute="Doctype Declaration" context="#stay" String="\s+"/>
181 </context>
182
183 <context name="26:MixedOrChildren" attribute="Error" lineEndContext="#stay">
184 <RegExpr attribute="Attribute" context="27" String="#PCDATA"/>
185 <RegExpr attribute="Doctype Declaration" context="#stay" String="\s+"/>
186 <DetectChar attribute="Doctype Declaration" context="30" char="("/>
187 <RegExpr attribute="Value" context="30" String="(?![&#x0660;-&#x0669;&#x06F0;-&#x06F9;&#x0966;-&#x096F;&#x09E6;-&#x09EF;&#x0A66;-&#x0A6F;&#x0AE6;-&#x0AEF;&#x0B66;-&#x0B6F;&#x0BE7;-&#x0BEF;&#x0C66;-&#x0C6F;&#x0CE6;-&#x0CEF;&#x0D66;-&#x0D6F;&#x0E50;-&#x0E59;&#x0ED0;-&#x0ED9;&#x0F20;-&#x0F29;]|\d)(\w|[_:])(\w|[_:.-])*[\?\*\+]?"/>
188 </context>
189
190 <context name="27:MixedShort" attribute="Error" lineEndContext="#stay">
191 <DetectChar attribute="Doctype Declaration" context="28" char="|"/>
192 <RegExpr attribute="Doctype Declaration" context="#stay" String="\s+"/>
193 <DetectChar attribute="Doctype Declaration" context="37" char=")"/>
194 </context>
195
196 <context name="28:MixedLong" attribute="Error" lineEndContext="#stay">
197 <RegExpr attribute="Value" context="29" String="(?![&#x0660;-&#x0669;&#x06F0;-&#x06F9;&#x0966;-&#x096F;&#x09E6;-&#x09EF;&#x0A66;-&#x0A6F;&#x0AE6;-&#x0AEF;&#x0B66;-&#x0B6F;&#x0BE7;-&#x0BEF;&#x0C66;-&#x0C6F;&#x0CE6;-&#x0CEF;&#x0D66;-&#x0D6F;&#x0E50;-&#x0E59;&#x0ED0;-&#x0ED9;&#x0F20;-&#x0F29;]|\d)(\w|[_:])(\w|[_:.-])*"/>
198 <RegExpr attribute="Doctype Declaration" context="#stay" String="\s+"/>
199 </context>
200
201 <context name="29:MixedLong endOrContinue" attribute="Error" lineEndContext="#stay">
202 <DetectChar attribute="Doctype Declaration" context="#pop" char="|"/>
203 <RegExpr attribute="Doctype Declaration" context="#pop#pop" String="\s+"/>
204 <Detect2Chars attribute="Doctype Declaration" context="37" char=")" char1="*"/>
205 </context>
206
207 <context name="30:children unknown" attribute="Error" lineEndContext="#stay">
208 <DetectChar attribute="Doctype Declaration" context="33" char="|"/>
209 <DetectChar attribute="Doctype Declaration" context="35" char=","/>
210 <RegExpr attribute="Doctype Declaration" context="#stay" String="\s+"/>
211 <RegExpr attribute="Doctype Declaration" context="31" String="\)[\?\*\+]?"/>
212 </context>
213
214 <context name="31:children unknownOrEnd" attribute="Error" lineEndContext="#stay">
215 <DetectChar attribute="Doctype Declaration" context="33" char="|"/>
216 <DetectChar attribute="Doctype Declaration" context="35" char=","/>
217 <RegExpr attribute="Doctype Declaration" context="#stay" String="\s+"/>
218 <RegExpr attribute="Doctype Declaration" context="#stay" String="\)[\?\*\+]?"/>
219 <DetectChar attribute="Doctype Declaration" context="23" char=">"/>
220 </context>
221
222 <context name="32:children unknownName" attribute="Error" lineEndContext="#stay">
223 <RegExpr attribute="Value" context="30" String="(?![&#x0660;-&#x0669;&#x06F0;-&#x06F9;&#x0966;-&#x096F;&#x09E6;-&#x09EF;&#x0A66;-&#x0A6F;&#x0AE6;-&#x0AEF;&#x0B66;-&#x0B6F;&#x0BE7;-&#x0BEF;&#x0C66;-&#x0C6F;&#x0CE6;-&#x0CEF;&#x0D66;-&#x0D6F;&#x0E50;-&#x0E59;&#x0ED0;-&#x0ED9;&#x0F20;-&#x0F29;]|\d)(\w|[_:])(\w|[_:.-])*[\?\*\+]?"/>
224 <DetectChar attribute="Doctype Declaration" context="#stay" char="("/>
225 <RegExpr attribute="Doctype Declaration" context="#stay" String="\s+"/>
226 </context>
227
228 <context name="33:children choice" attribute="Error" lineEndContext="#stay">
229 <RegExpr attribute="Value" context="34" String="(?![&#x0660;-&#x0669;&#x06F0;-&#x06F9;&#x0966;-&#x096F;&#x09E6;-&#x09EF;&#x0A66;-&#x0A6F;&#x0AE6;-&#x0AEF;&#x0B66;-&#x0B6F;&#x0BE7;-&#x0BEF;&#x0C66;-&#x0C6F;&#x0CE6;-&#x0CEF;&#x0D66;-&#x0D6F;&#x0E50;-&#x0E59;&#x0ED0;-&#x0ED9;&#x0F20;-&#x0F29;]|\d)(\w|[_:])(\w|[_:.-])*[\?\*\+]?"/>
230 <DetectChar attribute="Doctype Declaration" context="32" char="("/>
231 <RegExpr attribute="Doctype Declaration" context="#stay" String="\s+"/>
232 </context>
233
234 <context name="34:children choiceOrEnd" attribute="Error" lineEndContext="#stay">
235 <DetectChar attribute="Doctype Declaration" context="#pop" char="|"/>
236 <RegExpr attribute="Doctype Declaration" context="#stay" String="\s+"/>
237 <RegExpr attribute="Doctype Declaration" context="31" String="\)[\?\*\+]?"/>
238 </context>
239
240 <context name="35:children seq" attribute="Error" lineEndContext="#stay">
241 <RegExpr attribute="Value" context="36" String="(?![&#x0660;-&#x0669;&#x06F0;-&#x06F9;&#x0966;-&#x096F;&#x09E6;-&#x09EF;&#x0A66;-&#x0A6F;&#x0AE6;-&#x0AEF;&#x0B66;-&#x0B6F;&#x0BE7;-&#x0BEF;&#x0C66;-&#x0C6F;&#x0CE6;-&#x0CEF;&#x0D66;-&#x0D6F;&#x0E50;-&#x0E59;&#x0ED0;-&#x0ED9;&#x0F20;-&#x0F29;]|\d)(\w|[_:])(\w|[_:.-])*[\?\*\+]?"/>
242 <DetectChar attribute="Doctype Declaration" context="32" char="("/>
243 <RegExpr attribute="Doctype Declaration" context="#stay" String="\s+"/>
244 </context>
245
246 <context name="36:children seqOrEnd" attribute="Error" lineEndContext="#stay">
247 <DetectChar attribute="Doctype Declaration" context="#pop" char=","/>
248 <RegExpr attribute="Doctype Declaration" context="#stay" String="\s+"/>
249 <RegExpr attribute="Doctype Declaration" context="31" String="\)[\?\*\+]?"/>
250 </context>
251
252 <context name="37:element end" attribute="Error" lineEndContext="#stay">
253 <DetectChar attribute="Doctype Declaration" context="23" char=">"/>
254 <RegExpr attribute="Doctype Declaration" context="#stay" String="\s+"/>
255 </context>
256
257 <context name="38:AttlistDecl" attribute="Error" lineEndContext="#stay">
258 <RegExpr attribute="Normal Tag" context="39" String="(?![&#x0660;-&#x0669;&#x06F0;-&#x06F9;&#x0966;-&#x096F;&#x09E6;-&#x09EF;&#x0A66;-&#x0A6F;&#x0AE6;-&#x0AEF;&#x0B66;-&#x0B6F;&#x0BE7;-&#x0BEF;&#x0C66;-&#x0C6F;&#x0CE6;-&#x0CEF;&#x0D66;-&#x0D6F;&#x0E50;-&#x0E59;&#x0ED0;-&#x0ED9;&#x0F20;-&#x0F29;]|\d)(\w|[_:])(\w|[_:.-])*(\s+|$)"/>
259 <RegExpr attribute="Normal Tag" context="23" String="(?![&#x0660;-&#x0669;&#x06F0;-&#x06F9;&#x0966;-&#x096F;&#x09E6;-&#x09EF;&#x0A66;-&#x0A6F;&#x0AE6;-&#x0AEF;&#x0B66;-&#x0B6F;&#x0BE7;-&#x0BEF;&#x0C66;-&#x0C6F;&#x0CE6;-&#x0CEF;&#x0D66;-&#x0D6F;&#x0E50;-&#x0E59;&#x0ED0;-&#x0ED9;&#x0F20;-&#x0F29;]|\d)(\w|[_:])(\w|[_:.-])*>"/>
260 <RegExpr attribute="Doctype Declaration" context="#stay" String="\s+"/>
261 </context>
262
263 <context name="39:AttDef" attribute="Error" lineEndContext="#stay">
264 <RegExpr attribute="Attribute" context="40" String="(?![&#x0660;-&#x0669;&#x06F0;-&#x06F9;&#x0966;-&#x096F;&#x09E6;-&#x09EF;&#x0A66;-&#x0A6F;&#x0AE6;-&#x0AEF;&#x0B66;-&#x0B6F;&#x0BE7;-&#x0BEF;&#x0C66;-&#x0C6F;&#x0CE6;-&#x0CEF;&#x0D66;-&#x0D6F;&#x0E50;-&#x0E59;&#x0ED0;-&#x0ED9;&#x0F20;-&#x0F29;]|\d)(\w|[_:])(\w|[_:.-])*(\s+|$)"/>
265 <RegExpr attribute="Doctype Declaration" context="#stay" String="\s+"/>
266 <DetectChar attribute="Doctype Declaration" context="23" char=">"/>
267 </context>
268
269 <context name="40:AttType" attribute="Error" lineEndContext="#stay">
270 <keyword attribute="Doctype Declaration" context="46" String="AttType"/>
271 <RegExpr attribute="Doctype Declaration" context="41" String="NOTATION(\s+|$)"/>
272 <DetectChar attribute="Doctype Declaration" context="42" char="("/>
273 <RegExpr attribute="Doctype Declaration" context="#pop" String="\s+"/>
274 </context>
275
276 <context name="41:NotationStart" attribute="Error" lineEndContext="#stay">
277 <DetectChar attribute="Doctype Declaration" context="42" char="("/>
278 <RegExpr attribute="Doctype Declaration" context="#pop#pop" String="\s+"/>
279 </context>
280
281 <context name="42:Notation" attribute="Error" lineEndContext="#stay">
282 <RegExpr attribute="Value" context="43" String="(?![&#x0660;-&#x0669;&#x06F0;-&#x06F9;&#x0966;-&#x096F;&#x09E6;-&#x09EF;&#x0A66;-&#x0A6F;&#x0AE6;-&#x0AEF;&#x0B66;-&#x0B6F;&#x0BE7;-&#x0BEF;&#x0C66;-&#x0C6F;&#x0CE6;-&#x0CEF;&#x0D66;-&#x0D6F;&#x0E50;-&#x0E59;&#x0ED0;-&#x0ED9;&#x0F20;-&#x0F29;]|\d)(\w|[_:])(\w|[_:.-])*"/>
283 <RegExpr attribute="Doctype Declaration" context="#stay" String="\s+"/>
284 </context>
285
286 <context name="43:Notation or End" attribute="Error" lineEndContext="#stay">
287 <DetectChar attribute="Doctype Declaration" context="#pop" char="|"/>
288 <RegExpr attribute="Doctype Declaration" context="46" String="\)(\s+|$)"/>
289 <RegExpr attribute="Doctype Declaration" context="#stay" String="\s+"/>
290 </context>
291
292 <context name="44:Enumeration" attribute="Error" lineEndContext="#stay">
293 <RegExpr attribute="Value" context="#stay" String="(\w|[_:.-])+"/>
294 <RegExpr attribute="Doctype Declaration" context="#stay" String="\s+"/>
295 </context>
296
297 <context name="45:Enumeration or End" attribute="Error" lineEndContext="#stay">
298 <DetectChar attribute="Doctype Declaration" context="44" char="|"/>
299 <RegExpr attribute="Doctype Declaration" context="46" String="\)(\s+|$)"/>
300 <RegExpr attribute="Doctype Declaration" context="#stay" String="\s+"/>
301 </context>
302
303 <context name="46:DefaultDecl" attribute="Error" lineEndContext="#stay">
304 <RegExpr attribute="Attribute" context="39" String="(#REQUIRED|#IMPLIED)(\s+|$)"/>
305 <RegExpr attribute="Attribute" context="47" String="#FIXED(\s+|$)"/>
306 <RegExpr attribute="Doctype Declaration" context="#stay" String="\s+"/>
307 <RegExpr attribute="Value" context="48" String="&quot;"/>
308 <RegExpr attribute="Value" context="49" String="'"/>
309 </context>
310
311 <context name="47:DefaultDecl AttValue" attribute="Error" lineEndContext="#stay">
312 <RegExpr attribute="Value" context="48" String="&quot;"/>
313 <RegExpr attribute="Value" context="49" String="'"/>
314 </context>
315
316 <context name="48:DefaultDecl AttValue qq" attribute="Value" lineEndContext="#stay">
317 <RegExpr attribute="Entity" context="#stay" String="&amp;(?![&#x0660;-&#x0669;&#x06F0;-&#x06F9;&#x0966;-&#x096F;&#x09E6;-&#x09EF;&#x0A66;-&#x0A6F;&#x0AE6;-&#x0AEF;&#x0B66;-&#x0B6F;&#x0BE7;-&#x0BEF;&#x0C66;-&#x0C6F;&#x0CE6;-&#x0CEF;&#x0D66;-&#x0D6F;&#x0E50;-&#x0E59;&#x0ED0;-&#x0ED9;&#x0F20;-&#x0F29;]|\d)(\w|[_:])(\w|[_:.-])*;"/>
318 <RegExpr attribute="Entity" context="#stay" String="&amp;#(x[0-9a-fA-F]+|[0-9]+);"/>
319 <DetectChar attribute="Error" context="#stay" char="&amp;"/>
320 <DetectChar attribute="Error" context="#stay" char="&lt;"/>
321 <DetectChar attribute="Value" context="39" char="&quot;"/>
322 </context>
323
324 <context name="49:DefaultDecl AttValue q" attribute="Value" lineEndContext="#stay">
325 <RegExpr attribute="Entity" context="#stay" String="&amp;(?![&#x0660;-&#x0669;&#x06F0;-&#x06F9;&#x0966;-&#x096F;&#x09E6;-&#x09EF;&#x0A66;-&#x0A6F;&#x0AE6;-&#x0AEF;&#x0B66;-&#x0B6F;&#x0BE7;-&#x0BEF;&#x0C66;-&#x0C6F;&#x0CE6;-&#x0CEF;&#x0D66;-&#x0D6F;&#x0E50;-&#x0E59;&#x0ED0;-&#x0ED9;&#x0F20;-&#x0F29;]|\d)(\w|[_:])(\w|[_:.-])*;"/>
326 <RegExpr attribute="Entity" context="#stay" String="&amp;#(x[0-9a-fA-F]+|[0-9]+);"/>
327 <DetectChar attribute="Error" context="#stay" char="&amp;"/>
328 <DetectChar attribute="Error" context="#stay" char="&lt;"/>
329 <DetectChar attribute="Value" context="39" char="&quot;"/>
330 </context>
331
332 <context name="50:EntityDecl" attribute="Error" lineEndContext="#stay">
333 <RegExpr attribute="Entity" context="52" String="(?![&#x0660;-&#x0669;&#x06F0;-&#x06F9;&#x0966;-&#x096F;&#x09E6;-&#x09EF;&#x0A66;-&#x0A6F;&#x0AE6;-&#x0AEF;&#x0B66;-&#x0B6F;&#x0BE7;-&#x0BEF;&#x0C66;-&#x0C6F;&#x0CE6;-&#x0CEF;&#x0D66;-&#x0D6F;&#x0E50;-&#x0E59;&#x0ED0;-&#x0ED9;&#x0F20;-&#x0F29;]|\d)(\w|[_:])(\w|[_:.-])*(\s+|$)"/>
334 <DetectChar attribute="Entity" context="61" char="%"/>
335 <RegExpr attribute="Doctype Declaration" context="#stay" String="\s+"/>
336 </context>
337
338 <context name="51:unused" attribute="Error" lineEndContext="#stay">
339 </context>
340
341 <context name="52:GEDecl EntityValueOrExternalID" attribute="Error" lineEndContext="#stay">
342 <RegExpr attribute="Value" context="53" String="&quot;"/>
343 <RegExpr attribute="Value" context="54" String="'"/>
344 <RegExpr attribute="Doctype Declaration" context="55" String="PUBLIC(\s+|$)"/>
345 <RegExpr attribute="Doctype Declaration" context="58" String="SYSTEM(\s+|$)"/>
346 </context>
347
348 <context name="53:GEDecl EntityValue qq" attribute="Value" lineEndContext="#stay">
349 <RegExpr attribute="Entity" context="#stay" String="[&amp;%](?![&#x0660;-&#x0669;&#x06F0;-&#x06F9;&#x0966;-&#x096F;&#x09E6;-&#x09EF;&#x0A66;-&#x0A6F;&#x0AE6;-&#x0AEF;&#x0B66;-&#x0B6F;&#x0BE7;-&#x0BEF;&#x0C66;-&#x0C6F;&#x0CE6;-&#x0CEF;&#x0D66;-&#x0D6F;&#x0E50;-&#x0E59;&#x0ED0;-&#x0ED9;&#x0F20;-&#x0F29;]|\d)(\w|[_:])(\w|[_:.-])*;"/>
350 <RegExpr attribute="Entity" context="#stay" String="&amp;#(x[0-9a-fA-F]+|[0-9]+);"/>
351 <DetectChar attribute="Error" context="#stay" char="&amp;"/>
352 <DetectChar attribute="Error" context="#stay" char="%"/>
353 <DetectChar attribute="Error" context="#stay" char="&lt;"/>
354 <DetectChar attribute="Value" context="69" char="&quot;"/>
355 </context>
356
357 <context name="54:GEDecl EntityValue q" attribute="Value" lineEndContext="#stay">
358 <RegExpr attribute="Entity" context="#stay" String="[&amp;%](?![&#x0660;-&#x0669;&#x06F0;-&#x06F9;&#x0966;-&#x096F;&#x09E6;-&#x09EF;&#x0A66;-&#x0A6F;&#x0AE6;-&#x0AEF;&#x0B66;-&#x0B6F;&#x0BE7;-&#x0BEF;&#x0C66;-&#x0C6F;&#x0CE6;-&#x0CEF;&#x0D66;-&#x0D6F;&#x0E50;-&#x0E59;&#x0ED0;-&#x0ED9;&#x0F20;-&#x0F29;]|\d)(\w|[_:])(\w|[_:.-])*;"/>
359 <RegExpr attribute="Entity" context="#stay" String="&amp;#(x[0-9a-fA-F]+|[0-9]+);"/>
360 <DetectChar attribute="Error" context="#stay" char="&amp;"/>
361 <DetectChar attribute="Error" context="#stay" char="%"/>
362 <DetectChar attribute="Error" context="#stay" char="&lt;"/>
363 <DetectChar attribute="Value" context="69" char="'"/>
364 </context>
365
366 <context name="55:GEDecl PublicID" attribute="Error" lineEndContext="#stay">
367 <DetectChar attribute="Value" context="56" char="&quot;"/>
368 <DetectChar attribute="Value" context="57" char="'"/>
369 <RegExpr attribute="Doctype Declaration" context="#stay" String="\s+"/>
370 </context>
371
372 <context name="56:GEDecl PublicID qq" attribute="Error" lineEndContext="#stay">
373 <DetectChar attribute="Value" context="58" char="&quot;(\s+|$)"/>
374 <RegExpr attribute="Value" context="#stay" String="[&#x20;&#xD;&#xA;a-zA-Z0-9'()+,./:=?;!*#@$_%-]"/>
375 </context>
376
377 <context name="57:GEDecl PublicID q" attribute="Value" lineEndContext="#stay">
378 <DetectChar attribute="Value" context="58" char="'(\s+|$)"/>
379 <RegExpr attribute="Value" context="#stay" String="[&#x20;&#xD;&#xA;a-zA-Z0-9()+,./:=?;!*#@$_%-]"/>
380 </context>
381
382 <context name="58:GEDecl SystemID" attribute="Error" lineEndContext="#stay">
383 <DetectChar attribute="Value" context="59" char="&quot;"/>
384 <DetectChar attribute="Value" context="60" char="'"/>
385 <RegExpr attribute="Doctype Declaration" context="#stay" String="\s+"/>
386 </context>
387
388 <context name="59:GEDecl SystemID qq" attribute="Value" lineEndContext="#stay">
389 <DetectChar attribute="Value" context="69" char="&quot;"/>
390 </context>
391
392 <context name="60:GEDecl SystemID q" attribute="Value" lineEndContext="#stay">
393 <DetectChar attribute="Value" context="69" char="'"/>
394 </context>
395
396 <context name="61:PEDecl" attribute="Error" lineEndContext="#stay">
397 <RegExpr attribute="Entity" context="62" String="(?![&#x0660;-&#x0669;&#x06F0;-&#x06F9;&#x0966;-&#x096F;&#x09E6;-&#x09EF;&#x0A66;-&#x0A6F;&#x0AE6;-&#x0AEF;&#x0B66;-&#x0B6F;&#x0BE7;-&#x0BEF;&#x0C66;-&#x0C6F;&#x0CE6;-&#x0CEF;&#x0D66;-&#x0D6F;&#x0E50;-&#x0E59;&#x0ED0;-&#x0ED9;&#x0F20;-&#x0F29;]|\d)(\w|[_:])(\w|[_:.-])*(\s+|$)"/>
398 <RegExpr attribute="Doctype Declaration" context="#stay" String="\s+"/>
399 </context>
400
401 <context name="62:PEDecl EntityValueOrExternalID" attribute="Error" lineEndContext="#stay">
402 <RegExpr attribute="Value" context="53" String="&quot;"/>
403 <RegExpr attribute="Value" context="54" String="'"/>
404 <RegExpr attribute="Doctype Declaration" context="63" String="PUBLIC(\s+|$)"/>
405 <RegExpr attribute="Doctype Declaration" context="66" String="SYSTEM(\s+|$)"/>
406 </context>
407
408 <context name="63:PEDecl PublicID" attribute="Error" lineEndContext="#stay">
409 <DetectChar attribute="Value" context="64" char="&quot;"/>
410 <DetectChar attribute="Value" context="65" char="'"/>
411 <RegExpr attribute="Doctype Declaration" context="#stay" String="\s+"/>
412 </context>
413
414 <context name="64:PEDecl PublicID qq" attribute="Error" lineEndContext="#stay">
415 <DetectChar attribute="Value" context="66" char="&quot;(\s+|$)"/>
416 <RegExpr attribute="Value" context="#stay" String="[&#x20;&#xD;&#xA;a-zA-Z0-9'()+,./:=?;!*#@$_%-]"/>
417 </context>
418
419 <context name="65:PEDecl PublicID q" attribute="Value" lineEndContext="#stay">
420 <DetectChar attribute="Value" context="66" char="'(\s+|$)"/>
421 <RegExpr attribute="Value" context="#stay" String="[&#x20;&#xD;&#xA;a-zA-Z0-9()+,./:=?;!*#@$_%-]"/>
422 </context>
423
424 <context name="66:PEDecl SystemID" attribute="Error" lineEndContext="#stay">
425 <DetectChar attribute="Value" context="67" char="&quot;"/>
426 <DetectChar attribute="Value" context="68" char="'"/>
427 <RegExpr attribute="Doctype Declaration" context="#stay" String="\s+"/>
428 </context>
429
430 <context name="67:PEDecl SystemID qq" attribute="Value" lineEndContext="#stay">
431 <DetectChar attribute="Value" context="37" char="&quot;"/>
432 </context>
433
434 <context name="68:PEDecl SystemID q" attribute="Value" lineEndContext="#stay">
435 <DetectChar attribute="Value" context="37" char="'"/>
436 </context>
437
438 <context name="69:GEDecl endOrNDATA" attribute="Error" lineEndContext="#stay">
439 <DetectChar attribute="Doctype Declaration" context="23" char=">"/>
440 <RegExpr attribute="Doctype Declaration" context="70" String="NDATA(\s+|$)"/>
441 <RegExpr attribute="Doctype Declaration" context="#stay" String="\s+"/>
442 </context>
443
444 <context name="70:GEDecl NDATA" attribute="Error" lineEndContext="#stay">
445 <RegExpr attribute="Entity" context="37" String="(?![&#x0660;-&#x0669;&#x06F0;-&#x06F9;&#x0966;-&#x096F;&#x09E6;-&#x09EF;&#x0A66;-&#x0A6F;&#x0AE6;-&#x0AEF;&#x0B66;-&#x0B6F;&#x0BE7;-&#x0BEF;&#x0C66;-&#x0C6F;&#x0CE6;-&#x0CEF;&#x0D66;-&#x0D6F;&#x0E50;-&#x0E59;&#x0ED0;-&#x0ED9;&#x0F20;-&#x0F29;]|\d)(\w|[_:])(\w|[_:.-])*"/>
446 <RegExpr attribute="Doctype Declaration" context="#stay" String="\s+"/>
447 </context>
448
449 <context name="71:NotationDecl Name" attribute="Error" lineEndContext="#stay">
450 <RegExpr attribute="Entity" context="72" String="(?![&#x0660;-&#x0669;&#x06F0;-&#x06F9;&#x0966;-&#x096F;&#x09E6;-&#x09EF;&#x0A66;-&#x0A6F;&#x0AE6;-&#x0AEF;&#x0B66;-&#x0B6F;&#x0BE7;-&#x0BEF;&#x0C66;-&#x0C6F;&#x0CE6;-&#x0CEF;&#x0D66;-&#x0D6F;&#x0E50;-&#x0E59;&#x0ED0;-&#x0ED9;&#x0F20;-&#x0F29;]|\d)(\w|[_:])(\w|[_:.-])*(\s+|$)"/>
451 <RegExpr attribute="Doctype Declaration" context="#stay" String="\s+"/>
452 </context>
453
454 <context name="72:NotationDecl ExternalID" attribute="Error" lineEndContext="#stay">
455 <RegExpr attribute="Doctype Declaration" context="73" String="PUBLIC(\s+|$)"/>
456 <RegExpr attribute="Doctype Declaration" context="66" String="SYSTEM(\s+|$)"/>
457 <RegExpr attribute="Doctype Declaration" context="#stay" String="\s+"/>
458 </context>
459
460 <context name="73:NotationDecl PublicID" attribute="Error" lineEndContext="#stay">
461 <DetectChar attribute="Value" context="74" char="&quot;"/>
462 <DetectChar attribute="Value" context="75" char="'"/>
463 <RegExpr attribute="Doctype Declaration" context="#stay" String="\s+"/>
464 </context>
465
466 <context name="74:NotationDecl PublicID qq" attribute="Error" lineEndContext="#stay">
467 <DetectChar attribute="Value" context="76" char="&quot;(\s+|$)"/>
468 <RegExpr attribute="Value" context="#stay" String="[&#x20;&#xD;&#xA;a-zA-Z0-9'()+,./:=?;!*#@$_%-]"/>
469 </context>
470
471 <context name="75:NotationDecl PublicID q" attribute="Value" lineEndContext="#stay">
472 <DetectChar attribute="Value" context="76" char="'(\s+|$)"/>
473 <RegExpr attribute="Value" context="#stay" String="[&#x20;&#xD;&#xA;a-zA-Z0-9()+,./:=?;!*#@$_%-]"/>
474 </context>
475
476 <context name="76:NotationDecl SystemIDOrEnd" attribute="Error" lineEndContext="#stay">
477 <DetectChar attribute="Value" context="67" char="&quot;"/>
478 <DetectChar attribute="Value" context="68" char="'"/>
479 <DetectChar attribute="Doctype Declaration" context="23" char=">"/>
480 <RegExpr attribute="Doctype Declaration" context="#stay" String="\s+"/>
481 </context>
482
483 <context name="77:Comment inside IS" attribute="Comment" lineEndContext="#stay">
484 <RegExpr attribute="Error" context="23" String="--->"/>
485 <RegExpr attribute="Comment" context="23" String="-->"/>
486 <Detect2Chars attribute="Error" context="#stay" char="-" char1="-"/>
487 </context>
488
489 <context name="78:PI inside IS" attribute="Processing Instruction Body" lineEndContext="#stay">
490 <Detect2Chars attribute="Processing Instruction" context="23" char="?" char1="&gt;"/>
491 </context>
492
493 <context name="79:Outside" attribute="Normal Text" lineEndContext="#stay">
494 <RegExpr attribute="Error" context="80" String="&lt;[xX][mM][lL](\w|[_.-])*(:(\w|[_.-])+)?"/>
495 <RegExpr attribute="Normal Tag" context="80" String="&lt;(?![&#x0660;-&#x0669;&#x06F0;-&#x06F9;&#x0966;-&#x096F;&#x09E6;-&#x09EF;&#x0A66;-&#x0A6F;&#x0AE6;-&#x0AEF;&#x0B66;-&#x0B6F;&#x0BE7;-&#x0BEF;&#x0C66;-&#x0C6F;&#x0CE6;-&#x0CEF;&#x0D66;-&#x0D6F;&#x0E50;-&#x0E59;&#x0ED0;-&#x0ED9;&#x0F20;-&#x0F29;]|\d)(\w|_)(\w|[_.-])*(:(\w|[_.-])+)?"/>
496 <RegExpr attribute="Error" context="80" String="&lt;(?![&#x0660;-&#x0669;&#x06F0;-&#x06F9;&#x0966;-&#x096F;&#x09E6;-&#x09EF;&#x0A66;-&#x0A6F;&#x0AE6;-&#x0AEF;&#x0B66;-&#x0B6F;&#x0BE7;-&#x0BEF;&#x0C66;-&#x0C6F;&#x0CE6;-&#x0CEF;&#x0D66;-&#x0D6F;&#x0E50;-&#x0E59;&#x0ED0;-&#x0ED9;&#x0F20;-&#x0F29;]|\d)(\w|[:_])(\w|[:_.-])*"/>
497 <RegExpr attribute="Error" context="85" String="&lt;/[xX][mM][lL](\w|[_.-])*(:(\w|[_.-])+)?"/>
498 <RegExpr attribute="Normal Tag" context="85" String="&lt;/(?![&#x0660;-&#x0669;&#x06F0;-&#x06F9;&#x0966;-&#x096F;&#x09E6;-&#x09EF;&#x0A66;-&#x0A6F;&#x0AE6;-&#x0AEF;&#x0B66;-&#x0B6F;&#x0BE7;-&#x0BEF;&#x0C66;-&#x0C6F;&#x0CE6;-&#x0CEF;&#x0D66;-&#x0D6F;&#x0E50;-&#x0E59;&#x0ED0;-&#x0ED9;&#x0F20;-&#x0F29;]|\d)(\w|_)(\w|[_.-])*(:(\w|[_.-])+)?"/>
499 <RegExpr attribute="Error" context="85" String="&lt;/(?![&#x0660;-&#x0669;&#x06F0;-&#x06F9;&#x0966;-&#x096F;&#x09E6;-&#x09EF;&#x0A66;-&#x0A6F;&#x0AE6;-&#x0AEF;&#x0B66;-&#x0B6F;&#x0BE7;-&#x0BEF;&#x0C66;-&#x0C6F;&#x0CE6;-&#x0CEF;&#x0D66;-&#x0D6F;&#x0E50;-&#x0E59;&#x0ED0;-&#x0ED9;&#x0F20;-&#x0F29;]|\d)(\w|[:_])(\w|[:_.-])*"/>
500 <RegExpr attribute="Entity" context="#stay" String="&amp;(?![&#x0660;-&#x0669;&#x06F0;-&#x06F9;&#x0966;-&#x096F;&#x09E6;-&#x09EF;&#x0A66;-&#x0A6F;&#x0AE6;-&#x0AEF;&#x0B66;-&#x0B6F;&#x0BE7;-&#x0BEF;&#x0C66;-&#x0C6F;&#x0CE6;-&#x0CEF;&#x0D66;-&#x0D6F;&#x0E50;-&#x0E59;&#x0ED0;-&#x0ED9;&#x0F20;-&#x0F29;]|\d)(\w|[_:])(\w|[_:.-])*;"/>
501 <RegExpr attribute="Entity" context="#stay" String="&amp;#(x[0-9a-fA-F]+|[0-9]+);"/>
502 <RegExpr attribute="Entity" context="86" String="&lt;!\[CDATA\["/>
503 <RegExpr attribute="Comment" context="87" String="&lt;!--"/>
504 <RegExpr attribute="Normal Tag" context="88" String="&lt;\?xml-stylesheet(\s|$)"/>
505 <RegExpr attribute="Error" context="88" String="&lt;\?[xX][mM][lL](\w|[_.-])*(:(\w|[_.-])+)?"/>
506 <RegExpr attribute="Normal Tag" context="88" String="&lt;\?(?![&#x0660;-&#x0669;&#x06F0;-&#x06F9;&#x0966;-&#x096F;&#x09E6;-&#x09EF;&#x0A66;-&#x0A6F;&#x0AE6;-&#x0AEF;&#x0B66;-&#x0B6F;&#x0BE7;-&#x0BEF;&#x0C66;-&#x0C6F;&#x0CE6;-&#x0CEF;&#x0D66;-&#x0D6F;&#x0E50;-&#x0E59;&#x0ED0;-&#x0ED9;&#x0F20;-&#x0F29;]|\d)(\w|_)(\w|[_.-])*(:(\w|[_.-])+)?"/>
507 <RegExpr attribute="Error" context="88" String="&lt;\?(?![&#x0660;-&#x0669;&#x06F0;-&#x06F9;&#x0966;-&#x096F;&#x09E6;-&#x09EF;&#x0A66;-&#x0A6F;&#x0AE6;-&#x0AEF;&#x0B66;-&#x0B6F;&#x0BE7;-&#x0BEF;&#x0C66;-&#x0C6F;&#x0CE6;-&#x0CEF;&#x0D66;-&#x0D6F;&#x0E50;-&#x0E59;&#x0ED0;-&#x0ED9;&#x0F20;-&#x0F29;]|\d)(\w|[:_])(\w|[:_.-])*"/>
508 <DetectChar attribute="Error" context="#stay" char="&lt;"/>
509 <DetectChar attribute="Error" context="#stay" char="&amp;"/>
510 <RegExpr attribute="Error" context="#stay" String="\]\]>"/>
511 </context>
512
513 <context name="80:STag" attribute="Error" lineEndContext="#stay">
514 <Detect2Chars attribute="Normal Tag" context="79" char="/" char1="&gt;"/>
515 <DetectChar attribute="Normal Tag" context="79" char="&gt;"/>
516 <RegExpr attribute="Attribute" context="81" String="(xmlns:(\w|[_.-])*|xmlns|xml:(lang|base|space))"/>
517 <RegExpr attribute="Error" context="81" String="[xX][mM][lL](\w|[_.-])*(:(\w|[_.-])+)?"/>
518 <RegExpr attribute="Attribute" context="81" String="(?![&#x0660;-&#x0669;&#x06F0;-&#x06F9;&#x0966;-&#x096F;&#x09E6;-&#x09EF;&#x0A66;-&#x0A6F;&#x0AE6;-&#x0AEF;&#x0B66;-&#x0B6F;&#x0BE7;-&#x0BEF;&#x0C66;-&#x0C6F;&#x0CE6;-&#x0CEF;&#x0D66;-&#x0D6F;&#x0E50;-&#x0E59;&#x0ED0;-&#x0ED9;&#x0F20;-&#x0F29;]|\d)(\w|_)(\w|[_.-])*(:(\w|[_.-])+)?"/>
519 <RegExpr attribute="Error" context="81" String="(?![&#x0660;-&#x0669;&#x06F0;-&#x06F9;&#x0966;-&#x096F;&#x09E6;-&#x09EF;&#x0A66;-&#x0A6F;&#x0AE6;-&#x0AEF;&#x0B66;-&#x0B6F;&#x0BE7;-&#x0BEF;&#x0C66;-&#x0C6F;&#x0CE6;-&#x0CEF;&#x0D66;-&#x0D6F;&#x0E50;-&#x0E59;&#x0ED0;-&#x0ED9;&#x0F20;-&#x0F29;]|\d)(\w|[:_])(\w|[:_.-])*"/>
520 <RegExpr attribute="Normal Tag" context="#stay" String="\s+"/>
521 </context>
522
523 <context name="81:STag Attribute" attribute="Error" lineEndContext="#stay">
524 <DetectChar attribute="Attribute" context="82" char="="/>
525 <RegExpr attribute="Normal Tag" context="#stay" String="\s+"/>
526 </context>
527
528 <context name="82:STag Attribute Value" attribute="Error" lineEndContext="#stay">
529 <DetectChar attribute="Value" context="83" char="&quot;"/>
530 <DetectChar attribute="Value" context="84" char="'"/>
531 <RegExpr attribute="Normal Tag" context="#stay" String="\s+"/>
532 </context>
533
534 <context name="83:STag Value qq" attribute="Value" lineEndContext="#stay">
535 <RegExpr attribute="Entity" context="#stay" String="&amp;(?![&#x0660;-&#x0669;&#x06F0;-&#x06F9;&#x0966;-&#x096F;&#x09E6;-&#x09EF;&#x0A66;-&#x0A6F;&#x0AE6;-&#x0AEF;&#x0B66;-&#x0B6F;&#x0BE7;-&#x0BEF;&#x0C66;-&#x0C6F;&#x0CE6;-&#x0CEF;&#x0D66;-&#x0D6F;&#x0E50;-&#x0E59;&#x0ED0;-&#x0ED9;&#x0F20;-&#x0F29;]|\d)(\w|[_:])(\w|[_:.-])*;"/>
536 <RegExpr attribute="Entity" context="#stay" String="&amp;#(x[0-9a-fA-F]+|[0-9]+);"/>
537 <DetectChar attribute="Error" context="#stay" char="&amp;"/>
538 <DetectChar attribute="Error" context="#stay" char="&lt;"/>
539 <RegExpr attribute="Error" context="80" String="&quot;(?=(?![&#x0660;-&#x0669;&#x06F0;-&#x06F9;&#x0966;-&#x096F;&#x09E6;-&#x09EF;&#x0A66;-&#x0A6F;&#x0AE6;-&#x0AEF;&#x0B66;-&#x0B6F;&#x0BE7;-&#x0BEF;&#x0C66;-&#x0C6F;&#x0CE6;-&#x0CEF;&#x0D66;-&#x0D6F;&#x0E50;-&#x0E59;&#x0ED0;-&#x0ED9;&#x0F20;-&#x0F29;]|\d)(\w|[_:]))"/>
540 <RegExpr attribute="Value" context="80" String="&quot;(?=>|/>|\s|$)"/>
541 </context>
542
543 <context name="84:STag Value q" attribute="Value" lineEndContext="#stay">
544 <RegExpr attribute="Entity" context="#stay" String="&amp;(?![&#x0660;-&#x0669;&#x06F0;-&#x06F9;&#x0966;-&#x096F;&#x09E6;-&#x09EF;&#x0A66;-&#x0A6F;&#x0AE6;-&#x0AEF;&#x0B66;-&#x0B6F;&#x0BE7;-&#x0BEF;&#x0C66;-&#x0C6F;&#x0CE6;-&#x0CEF;&#x0D66;-&#x0D6F;&#x0E50;-&#x0E59;&#x0ED0;-&#x0ED9;&#x0F20;-&#x0F29;]|\d)(\w|[_:])(\w|[_:.-])*;"/>
545 <RegExpr attribute="Entity" context="#stay" String="&amp;#(x[0-9a-fA-F]+|[0-9]+);"/>
546 <DetectChar attribute="Error" context="#stay" char="&amp;"/>
547 <DetectChar attribute="Error" context="#stay" char="&lt;"/>
548 <RegExpr attribute="Error" context="80" String="'(?=(?![&#x0660;-&#x0669;&#x06F0;-&#x06F9;&#x0966;-&#x096F;&#x09E6;-&#x09EF;&#x0A66;-&#x0A6F;&#x0AE6;-&#x0AEF;&#x0B66;-&#x0B6F;&#x0BE7;-&#x0BEF;&#x0C66;-&#x0C6F;&#x0CE6;-&#x0CEF;&#x0D66;-&#x0D6F;&#x0E50;-&#x0E59;&#x0ED0;-&#x0ED9;&#x0F20;-&#x0F29;]|\d)(\w|[_:]))"/>
549 <RegExpr attribute="Value" context="80" String="'(?=>|/>|\s|$)"/>
550 </context>
551
552 <context name="85:ETag" attribute="Error" lineEndContext="#stay">
553 <DetectChar attribute="Normal Tag" context="79" char="&gt;"/>
554 <RegExpr attribute="Normal Tag" context="#stay" String="\s+"/>
555 </context>
556
557 <context name="86:CDSect" attribute="Normal Text" lineEndContext="#stay">
558 <RegExpr attribute="Entity" context="79" String="\]\]>"/>
559 </context>
560
561 <context name="87:Comment inside IS" attribute="Comment" lineEndContext="#stay">
562 <RegExpr attribute="Error" context="79" String="--->"/>
563 <RegExpr attribute="Comment" context="79" String="-->"/>
564 <Detect2Chars attribute="Error" context="#stay" char="-" char1="-"/>
565 </context>
566
567 <context name="88:PI inside IS" attribute="Processing Instruction Body" lineEndContext="#stay">
568 <Detect2Chars attribute="Processing Instruction" context="79" char="?" char1="&gt;"/>
569 </context>
570
571 </contexts>
572 <itemDatas>
573 <itemData name="Normal Text" defStyleNum="dsNormal"/>
574 <itemData name="Entity" defStyleNum="dsChar"/>
575 <itemData name="Normal Tag" defStyleNum="dsKeyword"/>
576 <itemData name="Attribute" defStyleNum="dsDataType"/>
577 <itemData name="Value" defStyleNum="dsString"/>
578 <itemData name="Doctype Declaration" defStyleNum="dsKeyword"/>
579 <itemData name="Comment" defStyleNum="dsComment"/>
580 <itemData name="Processing Instruction" defStyleNum="dsKeyword"/>
581 <itemData name="PI content" defStyleNum="dsOthers"/>
582 <itemData name="Stylesheet" defStyleNum="dsKeyword"/>
583 <itemData name="Error" defStyleNum="dsDecVal"/>
584 </itemDatas>
585 </highlighting>
586 <general>
587 <comments>
588 <comment name="multiLine" start="&lt;!--" end="--&gt;"/>
589 </comments>
590 <keywords casesensitive="1"/>
591 </general>
592</language>