summaryrefslogtreecommitdiff
path: root/i18n/fr/clock.ts
Side-by-side diff
Diffstat (limited to 'i18n/fr/clock.ts') (more/less context) (ignore whitespace changes)
-rw-r--r--i18n/fr/clock.ts18
1 files changed, 9 insertions, 9 deletions
diff --git a/i18n/fr/clock.ts b/i18n/fr/clock.ts
index 431d2c5..508e13d 100644
--- a/i18n/fr/clock.ts
+++ b/i18n/fr/clock.ts
@@ -4,7 +4,7 @@
<name>Clock</name>
<message>
<source>Snooze</source>
- <translation>Faire du bruit</translation>
+ <translation>Sonner</translation>
</message>
<message>
<source>Clock</source>
@@ -12,7 +12,7 @@
</message>
<message>
<source>Stopwatch</source>
- <translation>Arréter</translation>
+ <translation>Chronomètre</translation>
</message>
<message>
<source>Start</source>
@@ -36,11 +36,11 @@
</message>
<message>
<source>Alarm Is On</source>
- <translation>L&apos;alarme est activée</translation>
+ <translation>Alarme Activée</translation>
</message>
<message>
<source>Alarm Is Off</source>
- <translation>L&apos;alarme est désactivée</translation>
+ <translation>Alarme Désactivée</translation>
</message>
<message>
<source>Set date and time.</source>
@@ -48,14 +48,14 @@
</message>
<message>
<source>Clock: Alarm was missed.</source>
- <translation>Horloge: l&apos;alarme a été manquée.</translation>
+ <translation>Horloge: Alarme manquée.</translation>
</message>
</context>
<context>
<name>Set_Alarm</name>
<message>
<source>Set Alarm</source>
- <translation>Définir l&apos;alarme</translation>
+ <translation>Définir Alarme</translation>
</message>
<message>
<source>Hour</source>
@@ -67,16 +67,16 @@
</message>
<message>
<source>AM</source>
- <translation>Matin</translation>
+ <translation>AM</translation>
</message>
<message>
<source>PM</source>
- <translation>Après-midi</translation>
+ <translation>PM</translation>
</message>
<message>
<source>Snooze Delay
(minutes)</source>
- <translation>Délai avant de faire du bruit
+ <translation>Délai avant Sonnerie
(minutes)</translation>
</message>
<message>